华为海思校园招聘-芯片-数字 IC 方向 题目分享——第五套

华为海思校园招聘-芯片-数字 IC 方向 题目分享——第五套

(共9套,有答案和解析,答案非官方,仅供参考)(共九套,每套四十个选择题)

部分题目分享,完整版获取(WX:didadidadidida313,加我备注:CSDN huawei数字芯片题目,谢绝白嫖哈)

在这里插入图片描述

在这里插入图片描述

华为海思校园招聘-芯片-数字 IC 方向
(第五套)
1、下列选项中( ) 不是 veriog HDL 的关键字。( )
A. tri
B. for
C. force
D. edge
解析:
tri、for 和 force 都是 Verilog HDL 的关键字,而 edge 不是。这些关键字的作用如下:
tri:用于定义三态门,如 trior、trior、tristate 等。
for:用于编写循环语句,如 for loop、foreach loop 等。
force:用于在仿真过程中设置某个信号的值,可用于调试设计。
edge:虽然不是关键字,但是在 Verilog HDL 中常被用作系统任务,在时序描述中表示起始
边缘或终止边缘,如 posedge、negedge 等。
2、一个 16 选 1 的 onehot 数据选择器,其地址输入(选择控制输入)端有几个( )
A. 1
B.16
C.4
D.2
解析:
一个 16 选 1 的 onehot 数据选择器,其地址输入端应该有 16 个,对应 16 种可能的数
据输入。每个地址输入的值应表示一个唯一的地址,且这些地址只能有一个为高电平(即
onehot 编码)。因此,正确的答案是 A 选项,即有 1 个地址输入端。
3、下面这段代码,vld 为 0,data_i 在翻转时,乘法器不产生动态功耗:( )
注:这里原题中 if 块就没有赋值语句
A.正确
B.错误
解析:
在 vld 为 0 时,根据代码,乘法器还是会执行,此时的输入会被看做是零,输出也为零。因
此,即使 vld 为零,乘法器仍会产生动态功耗。
正确的代码应该使用一个逻辑门来控制乘法器,如下所示:
这样,在 vld 为零时,乘法器不会被激活,输出将保持为零,从而避免动态功耗的产生。
4、下列说法正确的是( )
A.reg 变量一定被综合为寄存器
B.always 块一定是用来描述时序逻辑的
C.变量类型定义为 memory 类型只能使用 always 进行赋值
D.变量类型定义为 memory 类型可以使用 assign 进行赋值
解析:
A. reg 变量一定被综合为寄存器是正确的。
在 Verilog 中,reg 声明的变量在综合时通常被翻译为寄存器(RG)。这是因为 reg 变量只能被
赋值,没有输出,只能在 always 块中被操作。因此,在综合时,reg 对应的硬件元件就是寄
存器。
B. always 块一定是用来描述时序逻辑的是不正确的。
always 块可以用来描述时序逻辑,也可以用来描述组合逻辑。always 块中的代码可以根据不
同的敏感信号(posedge、negedge、level)触发更新,实现时序逻辑;也可以在逻辑内部进行
组合逻辑的操作,根据输入信号得到输出信号。
C. 变量类型定义为 memory 类型只能使用 always 进行赋值是正确的。
在 Verilog 中,memory 是一种多位数据类型,通常用于描述类似于 RAM 的组合逻辑电路。
memory 类型的变量只能使用 always 块来进行操作,无法使用 assign 来赋值。
D. 变量类型定义为 memory 类型可以使用 assign 进行赋值是不正确的。
memory 类型的变量只能使用 always 块来进行赋值,不能使用 assign。assign 是用于描述组
合逻辑的关键字,而 memory 类型的变量是一种综合成组合逻辑的多位数据类型,不能直接
用于 assign 赋值。
5、描述时序逻辑时,只有 if 条件分支没有 else 分支有助于综合(Desgn Compile,DC)自动插
icg ( )
A.正确
B.错误
解析:
A. 不正确。Verilog 中的 reg 变量可以被综合为寄存器或者组合逻辑。综合工具会根据代码
逻辑和综合规则决定 reg 变量被综合为何种逻辑。
C. 不正确。Verilog 中的 memory 类型的变量需要使用 initial 或者 always 块进行赋值,其
中 initial 块是用来初始化存储器中的数据, always 块则可以用来描述存储器的时序逻辑。
D. 不正确。Verilog 中的 memory 类型的变量不能使用 assign 进行赋值,只能使用 initial
块或者 always 块。
因此,正确的选项是 B。always 块主要是用来描述时序逻辑的。
6、以下逻辑正确( )
A.正确
B.错误
解析:
这段代码存在语法错误和逻辑错误。正确的 Verilog HDL 代码应该类似于下面的形式:
其中:
 首先定义了一个名为 HIGH_PRI 的参数,并将其赋值为 0。
 使用 if‐else 结构进行条件判断:
o 如果 HIGH_PRI 的值为 1,则将 o 的值设置为 c 的值。
o 如果 HIGH_PRI 的值不为 1,则将 a 的值设为 b 的值。
7、将逻辑通过 CLK Gate 方式引入 CK 路径中,可以减少 D 端逻辑的路径时延( )
A 正确
B.错误
解析:
将逻辑通过 CLK Gate 的方式引入时钟路径中,可以在时钟信号到达寄存器 D 端之前,关
闭时钟信号,从而减少 D 端逻辑的路径时延,减小时钟抖动以及减少功耗。
当时钟信号到达时钟栅门时,如果 CLK Gate 处于关闭状态,则时钟信号被阻断,在时钟信
号到达寄存器的 D 端之前,数据输入信号不能进入寄存器,以此减少逻辑路径的时间延迟。
因此,将逻辑通过 CLK Gate 的方式引入 CK 路径中,可以减少 D 端逻辑的路径时延,同
时还可以减小时钟抖动和功耗。
8、I2C 器件中,7bit 模式的器件和 10bit 地址模式的器件,可以连接到同一个 2C 总线中?( )
A.正确
B.错误
解析:
I2C 总线支持 7 位和 10 位寻址模式。7 位寻址模式提供 128 个地址,而 10 位寻址模式
提供 1024 个地址。I2C 总线允许同时连接 7 个 7 位寻址模式的器件,和 1024 个 10 位
寻址模式的器件。因此,7 位模式的器件和 10 位地址模式的器件可以连接到同一个 I2C 总
线中,且不会有冲突。
需要注意的是,连接多个器件到 I2C 总线中需要非常小心,因为总线上的信号是共享的,
在不合理的设计或错误的连接方式下,可能会导致总线的电气特性失衡,而导致系统故障。
9、下面代码 c、b 信号每个时钟周期都相同( )
A.正确
B.错误
解析:
根据 Verilog HDL 中的信号赋值规则,在一个时钟上升沿到来时,always 块中的代码会被并
行执行。这意味着在一个时钟周期内,always 块中的所有语句都会被执行完成。在本例中,
always 块在上升沿时被执行,将 b 的值设置为 a 的值,然后再将 c 的值设置为 b 的值。因此,
指导下一个上升沿到来,c 和 b 的值都不会发生变化,且在每个时钟周期中,b 和 c 的值都相
同。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/823630.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

JVM 方法调用之方法分派

JVM 方法调用之方法分派 文章目录 JVM 方法调用之方法分派1.何为分派2.静态分派3.动态分派4.单分派与多分派5.动态分派的实现 1.何为分派 在上一篇文章《方法调用之解析调用》中讲到了解析调用,而解析调用是一个静态过程,在类加载的解析阶段就确定了方法…

ECharts:五大卓越在线示例库助力高效数据可视化开发

1. ECharts官方示例库 ECharts官网提供的示例库是最权威、最新的展示平台,涵盖了所有基础和高级图表类型,每个示例都配有详尽的代码解释和配置说明。开发者可以直接查看源代码,复制粘贴后稍加修改就能应用于实际项目中。 2. Make A Pie - EC…

【笔试训练】day4

不到5分钟写完,今天的题又又又难一点啦! 1.Fibonacci数列 思路: 直接模拟一遍斐波那契数列的递增过程,大于n就直接结束。因为后面只会越来越大,跟题目求的最小步数不符。在这个过程中用一个变量去维护这个当前的元素与目标n还差…

【编程TOOL】VC++6.0下载安装配置使用保姆式教程

目录 ​编辑 1.软件介绍 2.软件下载 3.软件安装 3.1.下载得到可执行文件并双击进行安装 3.2. 点击下一步 3.3. 选择安装位置 3.4. 勾选“创建桌面快捷方式”并点击下一步 5. 点击安装并等待 3.6. 先取消运行,后点击完成,软件即安装完毕 4.兼容性配置 4.1…

基于SpringBoot+Vue的疾病防控系统设计与实现(源码+文档+包运行)

一.系统概述 在如今社会上,关于信息上面的处理,没有任何一个企业或者个人会忽视,如何让信息急速传递,并且归档储存查询,采用之前的纸张记录模式已经不符合当前使用要求了。所以,对疾病防控信息管理的提升&a…

IoC与Spring

目录 IoC控制反转 现实案例 特点 目的 DI依赖注入 小总结 介绍Spring 狭义和广义上的Spring 传统编码方式的不足 需求引入 弊端分析 IoC控制反转 现实案例 1、买水果问老板各种水果的口感而不是自己去挨个尝试一遍。 2、买房子找中介而不是自己去花时间找房东。…

别找了,这35份Excel自动排班表真的好用!

别再自己做排班表了,调了半天不好看格式还不对。 看看自己需要的是哪些类型的排班表?是公司值班,还是直播排班,还是考勤汇总,总有一个适合你。 刚整理的35份办公常用的排班表,希望能帮到你! …

基于Python的机器学习的文本分类系统

博主介绍:✌程序员徐师兄、7年大厂程序员经历。全网粉丝12w、csdn博客专家、掘金/华为云/阿里云/InfoQ等平台优质作者、专注于Java技术领域和毕业项目实战✌ 🍅文末获取源码联系🍅 👇🏻 精彩专栏推荐订阅👇…

CentOS 7安装Redis

说明:本文介绍如何在CentOS 7操作系统下安装Redis 下载安装 首先,去官网上下载所需要安装的版本,官网地址:https://download.redis.io/releases/,我这里下载3.2.1版本的 下载完,上传到云服务器上&#xf…

<router-link>出现Error: No match for {“name“:“home“,“params“:{}}

在将<a></a>标签换到<router-link></router-link>的时候出现No match for {"name":"home","params":{}}这样的错误&#xff0c;其中格式并无错误&#xff0c; <router-link class"navbar-brand active" …

她在《繁花》大放异彩,“浪姐”暴瘦15斤,打脸了不看好她的观众

不知不觉&#xff0c;《浪姐》已经迎来第5季了。播到第4季的时候&#xff0c;改名成《乘风破浪2023》&#xff0c;这一季叫《乘风2024》&#xff0c;和前几季相比&#xff0c;热度依然不减。 都说3个女人一台戏&#xff0c;更何况这个节目&#xff0c;每次能请到30位姐姐&…

刷题。。。。。。

1.ezmd5 根据题目提示 我们知道应该是要上传两张md5值相同的图片 根据原文链接&#xff1a;cryptanalysis - Are there two known strings which have the same MD5 hash value? - Cryptography Stack Exchange 把保存下来的图片上传一下 得到flag 2.ezhttp 根据原文链接&…

node基础 第二篇

01 ffmpeg开源跨平台多媒体处理工具&#xff0c;处理音视频&#xff0c;剪辑&#xff0c;合并&#xff0c;转码等 FFmpeg 的主要功能和特性:1.格式转换:FFmpeg 可以将一个媒体文件从一种格式转换为另一种格式&#xff0c;支持几乎所有常见的音频和视频格式&#xff0c;包括 MP…

冲上热搜-奇安信今年的年终奖。。

最近,奇安信宣布全员无年终奖&#xff0c;同时冲上了脉脉热搜榜第一。作为网安界的一哥&#xff0c;奇安信的决定无疑给许多期待年终奖的员工带来了沉重的打击。 从公司内部的绩效考核机制来看,奇安信将员工分为了5个档次:S、A、B、B、B-。而大多数员工被评定为中等的B档,这意味…

【网络编程】web服务器shttpd源码剖析——命令行和文件配置解析

hello &#xff01;大家好呀&#xff01; 欢迎大家来到我的网络编程系列之web服务器shttpd源码剖析——命令行解析&#xff0c;在这篇文章中&#xff0c;你将会学习到在Linux内核中如何创建一个自己的并发服务器shttpd&#xff0c;并且我会给出源码进行剖析&#xff0c;以及手绘…

C++异常学习

C语言传统的处理错误的方式 传统的错误处理机制&#xff1a; 终止程序&#xff0c;如assert&#xff0c;缺陷&#xff1a;用户难以接受。如发生内存错误&#xff0c;除0错误时就会终止程序。返回错误码&#xff0c;缺陷&#xff1a;需要程序员自己去查找对应的错误。如系统的…

ES增强框架easy-es

因为最近做的功能是关于舆情的,所以数据量比较大的,本来打算用MySQL做时间分表来做,但是经过一段时间的测试,发现数据量太大,用时间分表不能满足性能的要求,所以决定将数据存储改为ES,但是短时间内改底层框架又不是一个小工程,时间上不允许,所以找到了一个很合适的框架,他跟myb…

深入理解JVM中的G1垃圾收集器原理、过程和参数配置

码到三十五 &#xff1a; 个人主页 心中有诗画&#xff0c;指尖舞代码&#xff0c;目光览世界&#xff0c;步履越千山&#xff0c;人间尽值得 ! 在Java虚拟机&#xff08;JVM&#xff09;中&#xff0c;垃圾收集&#xff08;GC&#xff09;是一个自动管理内存的过程&#xff…

华为海思校园招聘-芯片-数字 IC 方向 题目分享——第四套

华为海思校园招聘-芯片-数字 IC 方向 题目分享——第四套 (共9套&#xff0c;有答案和解析&#xff0c;答案非官方&#xff0c;仅供参考&#xff09;&#xff08;共九套&#xff0c;每套四十个选择题&#xff09; 部分题目分享&#xff0c;完整版获取&#xff08;WX:didadida…

「GO基础」起源与演进

&#x1f49d;&#x1f49d;&#x1f49d;欢迎莅临我的博客&#xff0c;很高兴能够在这里和您见面&#xff01;希望您在这里可以感受到一份轻松愉快的氛围&#xff0c;不仅可以获得有趣的内容和知识&#xff0c;也可以畅所欲言、分享您的想法和见解。 推荐:「stormsha的主页」…