彩色图转化为灰度图

彩色图转化为灰度图

源文件

`timescale 1ns / 1ps
module rgb2gary(input   [7:0]  rgb_r,input   [7:0]  rgb_g,input   [7:0]  rgb_b,output [7:0] gary);
//Verilog不支持小数     
// assign gary = 0.299 * rgb_r + 0.587 * rgb_g + 0.114 * rgb_b;
wire [17:0] gary_temp;
//将浮点数转化为定点数  扩大的倍数越大就会越接近(当误差能够接受就是对的)
assign gary_temp = 306 * rgb_r + 601 * rgb_g + 116 * rgb_b;  //扩大1024倍并且只取整数部分 
assign gary = gary_temp[17:10];//等效于   assign gary = gary_temp  >> 10;右移10位就是去掉低10位取高8位
endmodule

激励文件

`timescale 1ns / 1ps
module rgb2gary_tb();
reg [7:0] rgb_r;
reg [7:0] rgb_g;
reg [7:0] rgb_b;wire [7:0] gary;reg [7:0] rgb_data_mem [921599 : 0];//储存器 
reg [19 : 0] addr;//储存器的地址 integer fid;//定义存放计算灰度值之后的文件量 rgb2gary rgb2gary_tb(.rgb_r  (rgb_r),.rgb_g  (rgb_g),.rgb_b  (rgb_b),.gary(gary));i

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/243412.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Java面向对象入门

package com.org.lxh;import java.util.Calendar;/*** 面向对象编程入门* author hemmingway <hemmingway163.com>**/ public class Chp6 {int num500; //成员变量public static int num2200; //静态变量public static final double PI3.1415926; /…

时间与经验的等待:谈几位少数民族“80后”和“90后”作家

照日格图是我欣赏的蒙古族青年散文家。两年前&#xff0c;我就读过他的《怀念一垛草》。这篇散文通过打草与草垛将故事连接在一起&#xff0c;表现了蒙古人质朴真实的生活和命运。那些既熟悉又陌生的细节让我有种莫名的感动&#xff0c;它既让我们了解了草原秋天的景象&#xf…

win10系统如何禁用驱动程序强制签名

1. 首先打开并登录操作系统左下角。开始菜单上单击选择设置 2. 在设置页面选择“更新和安全” 3.在”更新和安全页面“找到左侧的恢复选项&#xff0c;在右侧选择”立即重新启动” 4.在启动页面选择疑难解答 5. 进入疑难解答页面选择”高级选项“ 6.在”高级选项“页面中选择”…

说不尽的嘎达梅林:读郭雪波的长篇小说《青旗•嘎达梅林》

嘎达梅林做为一个民族英雄&#xff0c;已经是个永久的传奇。很多文学作品、电影、电视&#xff0c;还有音乐都表现过这个人物&#xff0c;使他的影响力已经超出了蒙古民族的范畴&#xff0c;成为整个中华民族的英雄人物长廊中的一个典型。正因为如此也给后来的写作者制造了难题…

实现图像的二值化

实现图像的二值化 源文件 `timescale 1ns / 1ps module binarization(//module clockinput clk , // 时钟信号input rst_n , // 复位信号(低有效)//图像处理前的数据接口input ycbcr_vsync , // vsync信号input ycbcr_hsync , // hsync信号input ycbcr_de , // data enable…

Java面向对象进阶

相关额外的代码待上传。。。 /*** 面向对象进阶*/ package com.org.lxh;import com.org.lxh.ext.Demo; import com.org.lxh.impl.AysTest; import com.org.lxh.impl.Person; import com.org.lxh.impl.Test; import com.org.lxh.inter.InterTest; import com.org.lxh.obj.Addres…

2012 IBM软件技术峰会:IBM与开发者谈四大热门领域看法

8月23日&#xff0c;以“技术维新&#xff0c;预见未来”为主题的2012 IBM软件技术峰会在京举行&#xff0c;本次大会在“大数据、云计算、敏捷、移动”四个领域展开讨论&#xff0c;IBM全球副总裁兼中国开发中心总经理王阳、IBM软件集团Rational总经理Kristof Kloeckner、IBM系…

实现图像的中值滤波

实现图像的中值滤波 底层模块 `timescale 1ns / 1ps module median_filter #(parameter DATA_WIDTH = 8 ) (input clk , //pixel clkinput reset_p ,input [7:0] data_in ,input data_in_valid ,input data_in_hs ,input dat…

我的博客今天6岁298天了,我领取了元老博主徽章

我的博客今天6岁298天了&#xff0c;我领取了徽章. 2005.11.26&#xff0c;我在新浪博客安家。1999.08.20&#xff0c;我写下了第一篇博文&#xff1a;《小说是读者的艺术》。2006.04.20&#xff0c;我上传了第一张图片到相册。至今&#xff0c;我的博客共获得845,523次访问。…

今天无聊弄得C++

// chp2.cpp : 定义控制台应用程序的入口点。 //#include "stdafx.h" #include <stdlib.h> #include <string.h> #include <time.h> #include <math.h>//数据结构 //数组(Array)、堆栈(Stack)、队列(queue)、链表(Linked List)、树(Tree)、图…

“80后”作家应扮演更重要的角色

曾经在《北京文学》月刊社做副主编&#xff0c;现在做文学批评家和图书策划人&#xff0c;在他的眼里&#xff0c;新生代作家是文学的未来&#xff0c;也是文学不会消亡的保证。他对新生代的关注是一贯的。他策划过“60年代出生作家小说大展”、“好看小说大展”“新女性随笔系…

串口通信的收发程序

串口通信的收发程序 串口时钟是指异步串行通信,异步串口是指UART(Universal Asynchronous Receiver/Transmitter),通用异步接收/发送。UART是一个并行输入成为串行输出的芯片。通常集成在主板上。 消息帧是由一个低起始位开始,后面是7个或8个数据位,一个可用的奇偶位或几个…

网络游戏server编程,第一章笔记

1、什么是模块化&#xff1f; 现在的服务器程序大致分为三类&#xff0c;引擎模块&#xff0c;游戏逻辑模块以及管理模块。 引擎模块的功能主要是网络通信&#xff0c;加密&#xff0c;内存管理等&#xff1b; 游戏逻辑模块随着游戏的不同&#xff0c;内容也不同&…

让阅读成为一种习惯

多媒体时代的来临&#xff0c;使传统的纸质阅读受到前所未有的挑战。信息爆炸、思维与写作的即时性和碎片化&#xff0c;已经让大众无法感受知识的价值、读书的乐趣以及对心灵交流的渴望。面对这种客观存在&#xff0c;我们或许无力改变&#xff0c;但作为文化的传播者&#xf…

Atmosphere 1.0:支持Java/JavaScript的异步通信框架

Atmosphere 1.0是一个新的Java/Scala/Groovy框架&#xff0c;它试图将Web浏览器与应用服务器之间的通信抽象出来。在Web Socket、HTML5服务器端事件和其他特定于应用服务器的解决方案可用时&#xff0c;该框架可以透明地支持&#xff0c;此外还可将长轮询作为一种备选方案。\u0…

图像处理的笔记

图像处理的笔记 进行图像识别的算法时&#xff0c;先在MATLAB中仿真&#xff0c;注意不要使用MATLAB的函数实现你想要的功能&#xff0c;比如灰度图像的反向、二值化。如果实在需要用到函数&#xff0c;可以在MATLAB的命令窗口中输入“help 函数”进入函数说明文档&#xff0c…

Java字符串与包装类

/*** 字符串与包装类*/package com.org.lxh;import java.util.Date;import java.util.regex.Matcher;import java.util.regex.Pattern;/*** author Administrator**/public class Chp8 {/** 验证电话号码*/public static String checkPhoneNumber(String text){if(text null |…

Scala语言将加入宏指令

Scala开发团队正在将实验版宏指令加入到即将发行的2.10版中。Scala宏指令提供了编译时元编程的高级形式。Scala宏网站描述道&#xff1a;\u0026#xD;\n\u0026#xD;\n“宏指令显著简化了代码分析和代码生成&#xff0c;这使得它们成为处理大量现实用例的一种可选工具。传统上涉及编…

针对C++和Delphi的LiveBindings一瞥

绑定表达式&#xff08;Binding expression&#xff09;并不是新概念&#xff0c;XAML和Flex开发者主要就依靠这个。但除C/CX&#xff08;只用于WinRT编程&#xff09;之外&#xff0c;原生编程语言中通常见不到这种概念。不过为VCL和FireMonkey设计的LiveBindings却是个例外。…

图像处理的基础

图像处理的基础 图像作为人类感知世界的视觉基础,是人类获取信息、表达信息和传递信息的重要手段。 多数图像传感器的输出是连续的电压波形信号,这些波形的幅度和空间特性都与其所感知的光照有关。为了产生一幅数字图像,我们需要把连续的感知数据转换为数字形式,这个转换…