河北省网站建设拼多多电商代运营可信吗

diannao/2026/1/22 7:43:36/文章来源:
河北省网站建设,拼多多电商代运营可信吗,html5教程电子书,成都行业网站1. 使用串口发送5个字节数据到电脑 uart协议规定#xff0c;发送的数据位只能是6#xff0c;7#xff0c;8位#xff0c;如果数据位不符合#xff0c;接收者接收不到数据。所以我们需要将40位数据data分为5个字节数据分别发送#xff0c;那么接收者就能通过uart协议接收…1. 使用串口发送5个字节数据到电脑 uart协议规定发送的数据位只能是678位如果数据位不符合接收者接收不到数据。所以我们需要将40位数据data分为5个字节数据分别发送那么接收者就能通过uart协议接收到数据了。 2. 第一次使用状态机写设计代码不够简洁的版本 为什么要使用状态机由于在always语句块中语句是并行执行的当我想要处理有先后顺序的问题时就需要用状态机来解决。 针对发送五个字节数据到电脑的目的按可将状态机的使用分为以下三种情况 1. 没有开始发送数据请求trans_go信号没有出现 2. 数据请求trans_go信号出现 3. 数据请求trans_go信号出现 2.1 设计代码 module uart_tx_data(clk,rstn,trans_go,data40,uart_tx,trans_done );input clk;input rstn;input trans_go;input [39:0] data40;output uart_tx;output reg trans_done;reg [7:0] data;reg send_go;wire tx_done;uart_byte_tx uart_byte_tx(.clk(clk),.rstn(rstn),.blaud_set(3d4),.data(data),.send_go(send_go),.uart_tx(uart_tx),.tx_done(tx_done));reg [2:0]state;always(posedge clk or negedge rstn)if(!rstn) beginstate 0;send_go 0;data 0;trans_done 0;end else case(state)0: beginif(trans_go)begintrans_done 0;data data40[7:0];send_go 1;state 1;endelse begindata data;send_go 0;state 0;endend1:beginif(tx_done)begindata data40[15:8];send_go 1;state 2;endelse send_go 0;end2:beginif(tx_done)begindata data40[23:16];send_go 1;state 3;endelsesend_go 0;end3:beginif(tx_done)begindata data40[31:24];send_go 1;state 4;endelsesend_go 0;end4:beginif(tx_done)begindata data40[39:32];send_go 1;state 5;endelsesend_go 0;end5:beginif(tx_done)begintrans_done 1;state 0;endelsesend_go 0;enddefault: begindata data;send_go 0;state 0;endendcaseendmodule module uart_byte_tx(clk,rstn,blaud_set,data,send_go,uart_tx,tx_done );input clk;input rstn;input [2:0]blaud_set;input [7:0]data;input send_go;output reg uart_tx;output tx_done;//Blaud_set 0时波特率 9600//Blaud_set 1时波特率 19200//Blaud_set 2时波特率 38400//Blaud_set 3时波特率 57600//Blaud_set 4时波特率 115200reg[17:0] bps_dr;always(*)case(blaud_set)0: bps_dr 1000000000/9600/20;1: bps_dr 1000000000/19200/20;2: bps_dr 1000000000/38400/20;3: bps_dr 1000000000/57600/20;4: bps_dr 1000000000/115200/20;endcasereg [7:0] r_data;always(posedge clk)if(send_go)r_data data;elser_data r_data;reg send_en; always(posedge clk or negedge rstn)if(!rstn)send_en 0;else if(send_go)send_en 1;else if(tx_done)send_en 0;wire bps_clk;assign bps_clk (div_cnt 1);reg[17:0] div_cnt;always(posedge clk or negedge rstn)if(!rstn)div_cnt 0;else if(send_en)beginif(div_cnt (bps_dr - 1))div_cnt 0;elsediv_cnt div_cnt 1d1;endelsediv_cnt 0; reg[3:0] bps_cnt; always(posedge clk or negedge rstn)if(!rstn)bps_cnt 0;else if(send_en)beginif(bps_cnt 11)bps_cnt 0;else if(div_cnt 1)bps_cnt bps_cnt 4d1;endelsebps_cnt 0;reg tx_done;always(posedge clk or negedge rstn)if(!rstn)uart_tx 1d1;else case(bps_cnt)0: tx_done 0;1: uart_tx 1d0;2: uart_tx r_data[0];3: uart_tx r_data[1];4: uart_tx r_data[2];5: uart_tx r_data[3];6: uart_tx r_data[4];7: uart_tx r_data[5];8: uart_tx r_data[6];9: uart_tx r_data[7];10: uart_tx 1d1;11: begin uart_tx 1d1; tx_done 1; enddefault: uart_tx 1d1;endcaseendmodule 2.2 仿真代码学习trans_go脉冲信号以及数据发送完成信号 以下两点需要学习 通过控制trans_go信号的产生与结束来模拟一个周期的脉冲信号通过增加一个输出端口tx_done来通知我输出何时完成 timescale 1ns / 1psmodule uart_tx_data_tb();reg clk;reg rstn;reg trans_go;reg [39:0]data40;wire trans_done;wire uart_tx;uart_tx_data uart_tx_data_inst(.clk(clk),.rstn(rstn),.trans_go(trans_go),.data40(data40),.trans_done(trans_done),.uart_tx(uart_tx));initial clk 1;always #10 clk ~clk;initial beginrstn 0;trans_go 0;data40 0;#201;rstn 1;#200;data40 40h123456789a;trans_go 1; //trans_go脉冲信号的模拟#20;trans_go 0; //trans_go脉冲信号的模拟(posedge trans_done) //数据发送完成信号的标识#200000;data40 40ha987654321;trans_go 1;#20;trans_go 0;(posedge trans_done)#200000;$stop;endendmodule仿真波形 3. 优化状态机代码 1. 任务优化状态机实现只要个或3个状态实现发送的功能并且易于修改为发送任意个字节的数据 2. 征集不使用状态机的思想来实现本任务的方案 任务1完成如下对于任务2我的思路是由于fpga是并行发送数据的如果我们想要多字节发送数据的话肯定需要将多字节串起来发送所以我们可以将五个字节的数据串起来每个字节之间相隔起始位和结束位以此来达到在遵循协议的情况下实现多字节的输出。 3.1 设计代码三个状态 三个状态 状态1.等待发送请求 状态2.等待单字节数据发送完成 状态3.检查所有数据是否发送完成 module uart_tx_data1(clk,rstn,trans_go,data40,uart_tx,trans_done );input clk;input rstn;input trans_go;input [39:0] data40;output uart_tx;output reg trans_done;reg [7:0] data;reg send_go;wire tx_done;uart_byte_tx uart_byte_tx(.clk(clk),.rstn(rstn),.blaud_set(3d4),.data(data),.send_go(send_go),.uart_tx(uart_tx),.tx_done(tx_done));reg [2:0]state;reg [2:0]counter;always(posedge clk or negedge rstn)if(!rstn) beginstate 0;send_go 0;data 0;trans_done 0;counter 0;end else case(state)0:begin //等待发送请求if(trans_go)begin trans_done 0;send_go 1;data (data408*counter);state 1;endelse begin data data;send_go 0;state 0;endend1:begin //等待单字节数据发送完成if(tx_done)begincounter counter 1d1;state 2;endelse send_go 0;end2:begin //检查所有数据是否发送完成if(counter 5) begintrans_done 1;state 0;counter 0;endelse beginsend_go 1;data (data40(8*counter));state 1;endenddefault: begindata data;send_go 0;state 0;endendcaseendmodule module uart_byte_tx(clk,rstn,blaud_set,data,send_go,uart_tx,tx_done );input clk;input rstn;input [2:0]blaud_set;input [7:0]data;input send_go;output reg uart_tx;output tx_done;//Blaud_set 0时波特率 9600//Blaud_set 1时波特率 19200//Blaud_set 2时波特率 38400//Blaud_set 3时波特率 57600//Blaud_set 4时波特率 115200reg[17:0] bps_dr;always(*)case(blaud_set)0: bps_dr 1000000000/9600/20;1: bps_dr 1000000000/19200/20;2: bps_dr 1000000000/38400/20;3: bps_dr 1000000000/57600/20;4: bps_dr 1000000000/115200/20;endcasereg [7:0] r_data;always(posedge clk)if(send_go)r_data data;elser_data r_data;reg send_en; always(posedge clk or negedge rstn)if(!rstn)send_en 0;else if(send_go)send_en 1;else if(tx_done)send_en 0;wire bps_clk;assign bps_clk (div_cnt 1);reg[17:0] div_cnt;always(posedge clk or negedge rstn)if(!rstn)div_cnt 0;else if(send_en)beginif(div_cnt (bps_dr - 1))div_cnt 0;elsediv_cnt div_cnt 1d1;endelsediv_cnt 0; reg[3:0] bps_cnt; always(posedge clk or negedge rstn)if(!rstn)bps_cnt 0;else if(send_en)beginif(bps_cnt 11)bps_cnt 0;else if(div_cnt 1)bps_cnt bps_cnt 4d1;endelsebps_cnt 0;reg tx_done;always(posedge clk or negedge rstn)if(!rstn)uart_tx 1d1;else case(bps_cnt)0: tx_done 0;1: uart_tx 1d0;2: uart_tx r_data[0];3: uart_tx r_data[1];4: uart_tx r_data[2];5: uart_tx r_data[3];6: uart_tx r_data[4];7: uart_tx r_data[5];8: uart_tx r_data[6];9: uart_tx r_data[7];10: uart_tx 1d1;11: begin uart_tx 1d1; tx_done 1; enddefault: uart_tx 1d1;endcaseendmodule 仿真代码 timescale 1ns / 1psmodule uart_tx_data1_tb();reg clk;reg rstn;reg trans_go;reg [39:0]data40;wire trans_done;wire uart_tx;uart_tx_data1 uart_tx_data_inst1(.clk(clk),.rstn(rstn),.trans_go(trans_go),.data40(data40),.trans_done(trans_done),.uart_tx(uart_tx));initial clk 1;always #10 clk ~clk;initial beginrstn 0;trans_go 0;data40 0;#201;rstn 1;#200;data40 40h123456789a;trans_go 1;#20;trans_go 0;(posedge trans_done);#200000;data40 40ha987654321;trans_go 1;#20;trans_go 0;(posedge trans_done);#200000;$stop;endendmodule仿真波形 3.2 调试 调试1counter位宽给错了counter要记到5但是只给了[1:0]两位 调试2counter记到5后未清零导致数据多发了三次且由于data data408*counter导致数据为00

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/diannao/89374.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

佛山网红书店成都有实力的seo团队

Avalonia制作仪表盘&#xff0c;把控件给大家演示一下&#xff0c;Avalonia有三类自定义控件&#xff0c;分别是用户控件、模版控件、自主控件。前面已经很多用户控件了&#xff0c;这个是演示模版控件&#xff0c;另外一种不知道哪种情况下使用。 前端代码&#xff1a; <…

网站的目录怎样做的网站建设 技术方案

在此之前&#xff0c;向大家说明的是&#xff0c;我们整个框架用的是flask sqlalchemy redis。如果没有开发过web&#xff0c;还是先去学习一下&#xff0c;这边只是介绍如果从开发web转换到开发移动端。如果flask还不是很熟悉&#xff0c;我建议先到这个网站简单学习一下&am…

精准网站seo诊断报告免费网站空间哪个好

如果你想先看看最终效果再决定看不看文章 -> bilibili示例代码下载 第二篇&#xff1a;一步一步教你实现iOS音频频谱动画&#xff08;二&#xff09; 基于篇幅考虑&#xff0c;本次教程分为两篇文章&#xff0c;本篇文章主要讲述音频播放和频谱数据的获取&#xff0c;下篇将…

建设主题网站的顺序是什么意思上海免费网站建设模板推荐

1.every()方法的定义与用法 every()方法用于检测数组中的所有元素是否都满足指定条件every()方法会遍历数组中的每一项&#xff0c;如果有一项不满足条件&#xff0c;则表达式返回false&#xff0c;剩余的项将不会进行检测&#xff1b;如果遍历完数组后&#xff0c;每一项都符…

个人网站开发西安seo王

目录 1、Value注解的作用 2、Value 注解的写法 3、用法示例 3.1 resources 目录新增 book.properties 配置文件 3.2 新增实体 Book.java 3.3 新增 TestValueConfig.java 3.4 新增 TestValue.java 测试文件 4、使用场景 今天给大家分享Spring属性赋值注解Value 用法&#xff0c…

建网站无锡网站建设主流开发语言

Java中的WeakHashMap是中高级Java开发人员中非常流行的数据结构。 WeakHashMap类位于java.util包中。 这是一个Map实现&#xff0c;其中存储了对其键的弱引用。 当关联密钥丢失其所有活动的强引用和软引用时&#xff0c; WeakHashMap中的条目将自动删除。 在本文中&#xff0…

换网站了吗网站平台维护

ArkTS提供了渲染控制的能力。条件渲染可根据应用的不同状态&#xff0c;使用if、else和else if渲染对应状态下的UI内容。 说明&#xff1a; 从API version 9开始&#xff0c;该接口支持在ArkTS卡片中使用。 使用规则 支持if、else和else if语句。 if、else if后跟随的条件语句…

html5个人网站源码有专门做市场分析的网站么

程序员的圈子啊那是十分神秘&#xff0c;又令人着迷的。每天的工作就是对着电脑&#xff0c;那他们的工作是如何的呢&#xff1f;我们来品一品&#xff08;PS&#xff1a;后面奉上各位大佬的桌面&#xff0c;别走开哦&#xff09;↓↓↓最最常见的普通版&#xff1a;升级版&…

wordpress 不允许评论网站seo文章该怎么写

CSRF攻击是开发Web后端时需要重点解决的问题。 那么什么是CSRF攻击呢&#xff1f; CSRF跨站点请求伪造(Cross—Site Request Forgery)&#xff0c;其主要利用的是Cookie的一个弱点&#xff0c;就是Cookie 最初被设计成了允许在第三方网站发起的请求中携带&#xff1a; 关于Co…

成都低价做网站合肥公司网站建设

iOS 9音频应用播放音频之音量设置与声道设置 iOS 9音频应用音量设置 音量又称响度、音强&#xff0c;是指人耳对所听到的声音大小强弱的主观感受&#xff0c;其客观评价尺度是声音的振幅大小。在iOS 9音频应用的应用中&#xff0c;经常会出现播放的音乐音量过大或者过小。此时i…

网站发布服务托管器wordpress后台登陆logo

每个人都习惯使用Python去完成机器学习和深度学习的工作&#xff0c;但是对于习惯于某种特定语言的人来说&#xff0c;转型不是那么容易的事。这两年我花了不少时间在Python&#xff0c;毕竟工作的重心也从移动开发转为机器学习和深度学习。感谢我的老板给我很大的空间去开拓新…

做网站需要用socket吗莱芜网站制作

所谓的冷备和热备&#xff0c;冷备就是不用vrrp和hsb协议同步ap和用户信息&#xff0c;主的断了等七十五秒后&#xff0c;备的capwap和ap连接上去。 双链路冷备不用vrrp和hsb 双链路热备份只用hsb同步ap和用户信息&#xff0c;不用vrrp&#xff0c;两个ac可以不用在同一个二层…

沙田镇网站建设wordpress会话已过期

在进行媒体查询的编写的时候&#xff0c;我们可以利用scss与与编译器&#xff0c;通过include混入的方式对代码进行简化&#xff0c;从而大大提高了代码的可维护性&#xff0c;也减少了代码的编写量&#xff0c;废话不多说&#xff0c;直接上代码&#xff1a; // 断点列表 相当…

如何设定旅游网站seo核心关键词梵高网站建设

我们听说过很多关于测试驱动开发&#xff08;TDD&#xff09;的内容。那么什么是ATDD&#xff1f; ATDD代表验收测试驱动开发&#xff0c;这是一种定义验收标准并创建自动化测试来验证是否满足这些标准的软件开发方法。ATDD是一种协作方法&#xff0c;涉及客户、开发人员和测试…

如何用网站做淘宝客滴道网站建设

一、最终效果为了不浪费大家时间&#xff0c;先展示最终效果&#xff0c;看看是不是大家需要的解决方案&#xff1a;标准分辨率&#xff1a;其他分辨率的适配情况&#xff1a;二、需求1.canvas的内容能全部展示在屏幕上2.尽量能保证图像不变形3.绘制的文字也能自适应三、解决方…

做视频播放网站站酷网站的比赛网页谁做的

可以将 nginx 作为一个非常高效的 HTTP 负载均衡器&#xff0c;将流量分配到多个应用服务器上&#xff0c;并通过 nginx 提高 Web 应用的性能、可扩展性和可靠性。 nginx 可以通过添加一个 upstream&#xff0c;来实现 nginx 的负载均衡功能。 upstream myserver {server 192…

石家庄网站建立兰州网络推广范文

M/M/m排队模型 (单队列多服务台并联服务模型) 数学建模: 基于生灭过程的理论计算和基于事件推进的Matlab模拟仿真思路 原创文章&#xff0c;转载文章请注明出处&#xff1a;©️Sylvan Ding &#x1f389;&#x1f389;&#x1f389; 摘要 本文研究M/M/m单队列多服务台并…

深圳市制作网站百度关键词多少钱一个月

第十七天课堂笔记 Java常用类 数学类★★★ math java.lang.Math , 数学类 round(x) : 四舍五入 , 把 x加0.5 后向下取整 ceil(x) : 返回大于等于x的最小整数 , 向上取整 floor(x) : 返回小于等于x的最大整数 , 向下取整 sqrt(x) : 平方根 cbrt(x): 立方根 pow(a , b)…

建筑人才网站哪个比较好绍兴网站制作建设

一、界面预览鼠标放到右边的Tab按钮上&#xff0c;文字透明度降低&#xff0c;同时一段文字高亮显示&#xff0c;效果如下&#xff1a;Demo地址&#xff1a;http://5thirtyone.com/sandbox/samples/fadefocus/很绚丽的效果幺&#xff01;二、实现原理将要高亮显示的文字加上<…

淄博网站建设淄博中国十大软件外包公司排名

1. 模型介绍&#xff1a; 生产者消费者模型是操作系统中的一种并发编程模型&#xff0c;用于解决生产者和消费者之间的数据共享和同步问题。 在该模型中&#xff0c;生产者负责生成数据&#xff0c;并将数据放入一个有限的缓冲区中&#xff0c;而消费者则从缓冲区中取出数据进…