【硬件测试】基于FPGA的BPSK+costas环系统开发与硬件片内测试,包含高斯信道,误码统计,可设置SNR

news/2025/11/15 0:23:00/文章来源:https://www.cnblogs.com/51matlab/p/19223912

1.算法硬件测试效果

vio设置SNR=301

vio设置SNR=8

2

硬件测试操作步骤可参考程序配套的操作视频。

2.算法涉及理论知识概要

Costas环是一种用于载波同步的常见方法,特别是在调制解调中,它被广泛用于解调相位调制信号,如二进制调相(BPSK)或四进制调相(QPSK)信号。它的目的是估计和追踪接收信号的相位偏移,以便正确解调数据。

其基本结构如下图所示:

4

如上图所示Costas环包括两个主要部分:一个偏移90度的本地振荡器(Local Oscillator,LO)和一个相移解调器。这两个部分协同工作来估计信号的相位偏移。

Costas环包括以下主要组件:

本地振荡器(Local Oscillator,LO): LO产生一个本地参考信号,其频率与接收信号的载波频率相同。这个本地参考信号通常包括正弦和余弦两路信号,相位相差90度。这两路信号将与接收信号相位进行比较。

相位解调器(Phase Detector): 相位解调器用于测量接收信号和本地振荡器之间的相位差。它的输出是一个带有相位信息的信号。

环路滤波器(Loop Filter): 环路滤波器对相位差信息进行滤波和处理,以生成一个控制电压。这个电压将用于调整本地振荡器的频率和相位,以最小化相位差。

本地振荡器控制单元: 这个单元接收来自环路滤波器的控制电压,并相应地调整本地振荡器的频率和相位。

输出: Costas环的输出是本地振荡器的相位信息,该信息已经被调整,以与接收信号的相位保持同步。这个输出可以用于解调接收信号。

3.Verilog核心程序

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date: 2025/05/12 01:22:19
// Design Name: 
// Module Name: tops_hdw
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////
 module tops_hdw(input i_clk,
input i_rst,
output reg [3:0] led
);reg[19:0]CNT;
always @(posedge i_clk or negedge i_rst)
beginif(~i_rst)beginCNT <= 20'd0;
      end
else beginif(CNT==20'd100000)CNT <= 20'd1;elseCNT <= CNT+20'd1; 
     end
endreg RST;
reg trigers;
always @(posedge i_clk or negedge i_rst)
beginif(~i_rst)beginRST <= 1'd0;trigers<= 1'd0;
      end
else beginif(CNT<=20'd50000)RST <= 1'd1;elseRST <= 1'd0;if(CNT==20'd50000)trigers<= 1'd1;elsetrigers<= 1'd0;
     end
endwire [1:0]o_msg;//产生模拟测试数据
signal signal_u(
.i_clk (i_clk),
.i_rst (RST),
.o_bits(o_msg)
);//设置SNR
wire signed[7:0]o_SNR;
vio_0 your_instance_name (.clk(i_clk),                // input wire clk.probe_out0(o_SNR)  // output wire [7 : 0] probe_out0
);wire signed[7:0]o_msg_filter;
wire signed[15:0]o_msg_mod;
wire signed[15:0]o_msg_modn_SNR20;
wire signed[15:0]o_low_filter_SNR20;
wire signed[31:0]o_delta_fre_SNR20;
tops_costas tops_costas1(
.i_clk        (i_clk),
.i_rst        (RST),
.i_SNR        (o_SNR),
.i_msg        (o_msg),
.o_msg_filter (o_msg_filter),
.o_msg_mod    (o_msg_mod),
.o_msg_modn   (o_msg_modn_SNR20),
.o_low_filter (o_low_filter_SNR20),
.o_delta_fre  (o_delta_fre_SNR20)
);wire [31:0]o_error_num;
wire [31:0]o_total_num;
Error_Chech Error_Chech_us(
.i_clk        (i_clk),
.i_rst        (RST),
.i_trans      (o_msg),
.i_rec        (o_low_filter_SNR20),
.o_error_num  (o_error_num),
.o_total_num  (o_total_num)
);//ila篇内测试分析模块
ila_0 ila_u (.clk(i_clk), // input wire clk
    .probe0({ o_msg,o_SNR,trigers,//10o_msg_filter,//8o_msg_mod[15:6],o_msg_modn_SNR20[15:6],o_low_filter_SNR20[15:6],//30o_delta_fre_SNR20,//32o_error_num[19:0],o_total_num[23:0]//44
 }));    endmodule
0sj2_075m

4.开发板使用说明和如何移植不同的开发板

注意:硬件片内测试是指发射接收均在一个板子内完成,因此不需要定时同步模块。

在本课题中,使用的开发板是:

10

 

11

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/965801.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

### 4

4.4 切口效应 由于表面切口应力集中效应导致应力水平增加,典型的零件疲劳失效通常发生在表面上的切口处。切口被定义为几何上的不连续,是由设计(如一个孔)或加工工艺引入的(以材料和制造缺陷的形式出现,如夹杂、…

每日一句

没做一件事,我们首先想到的就是别人会怎样看,人生中几乎有一半的麻烦与困扰就是来自我们对行动结果的焦虑上。 ———— 叔本华

4.3 基于极限拉伸强度估算零件S-N曲线

4.3 基于极限拉伸强度估算零件S-N曲线 在没有S-N实验数据可用时,零件S-N特性的估算方法对于设计过程是非常有用而且至关重要的。本节重点介绍根据有限的信息估算S-N曲线的方法,素材主要取自大量的参考文献 [16] [3] …

2025年宁夏AI客服服务商/供应商最新top5专业推荐:智能交互重构企业服务新范式

随着AI技术深度赋能服务领域,具备多模态交互能力的智能客服已成为企业降本增效的核心工具。本榜单基于技术创新性、场景适配度、服务效能三大维度,结合宁夏本地企业数字化转型需求,深度解析四家头部服务商综合实力,…

Windows 文件删除命令详解

1. rmdir - 删除目录命令 基本语法 rmdir [参数] 目录名主要参数说明参数 作用 等效 Linux 命令/s 递归删除目录树(包含子目录和文件) rm -r/q 安静模式(不提示确认) rm -f常用组合 # 基本删除(只能删除空文件夹)…

Polander is good.

only woman such as name as Marie Curie

2025年宁夏智能体定制服务商专业评测:智能体重构企业服务新生态

随着人工智能技术的飞速发展,AI智能体定制服务成为推动企业数字化转型的核心力量。本榜单基于技术实力、行业适配性、服务效能三大维度,结合市场反馈和实际案例,对宁夏地区的AI智能体定制服务商进行综合评测,为企业…

在ec2上部署deepseekOCR模型

参考资料https://deepseek-ocr.io/zh/ https://www.bilibili.com/video/BV1TPsBzaEdh/?vd_source=a136f72026ee8b3577e31b12a3a6f648模型特性和比较 传统的OCR技术,虽然能够识别字符,却往往无法理解图像中的语义关系…

软件工程学习日志2025.11.14

今天收到了《机器学习B》课程的第一份实验任务书,终于要从理论走向动手实践了!我花了一些时间仔细阅读了任务书,并规划了一下未来八周的上机安排。这是一场硬仗,我得好好记录下来。 📅 实验时间线:一场为期八周…

AI写论文神器!6大AI工具助你高效完成毕业论文(附查重攻略)

毕业论文查重高、AI痕迹重?别慌!本文分享6大高效AI论文工具——鲲鹏智写、AI论文及时雨、图灵论文AI、通义千问、巨鲸写作、PubMed,涵盖一键生成初稿、智能降重/AIGC优化、问卷数据全流程支持、文献综述自动生成等功…

Intel N100是个性价比很高的CPU

Nsort程序是一款高性能的排序软件,由微软研究院开发,主要用于大规模数据排序的基准测试。‌它在2004年3月和4月的基准测试中创造了以下记录:‌2004年3月‌:在32处理器的Itanium 2 NEC Express5800/1320Xd服务器上,…

MC 红石电路

MC 红石电路 非门或非门

二手电商寄卖大致流程

二手电商寄卖业务是一种C2B2C模式,即个人卖家将闲置物品委托给平台进行销售,平台负责质检、定价、上架和销售,成交后按约定比例收取佣金的服务模式。 卖家可以并非个人卖家 也可以专门卖二手的公司 平台 渠道 乃至自…

11月14日日记

1.今天上形势与政策 2.明天休息 3.连接池的核心参数(maxActive、maxIdle、minIdle、maxWait)如何合理配置?

2025五大中文表达能力机构推荐: 避坑指南!告别表达卡顿!

2025五大中文表达能力机构推荐: 避坑指南!告别表达卡顿!一、推荐背景与评价体系​ 随着课标改革对综合素质评价的重视及未来社会对沟通协作能力的更高要求,少儿表达训练已成为越来越多家庭的“刚需”。数据显示,超…

why should woman have a shorter name

because they are women. not men, obviously.

使用DeepSeek润色论文:掌握这些高效指令,精准润色

DeepSeek作为强大的AI润色工具,凭借其精准的语义理解和高效的文本生成能力,成为学术写作的得力助手。本文深入解析DeepSeek的润色原理,涵盖基础与高级润色指令,包括语法修正、词汇优化、句子结构与逻辑连贯性提升,…

轨迹方程1

Problem 已知双曲线 $ x^2 -\frac{y^2}{3}=1 $ ,双曲线上一点 $ P(x_0,y_0) \hspace {0.1cm} (x_0>0) $ , 双曲线在点 $ P $ 处的切线 $ l:x_0x - \frac{y_0y}{3} =1 $ . 若 $ l \bot \hspace {0.1cm} l $ 且 $ \…

Marie Curie the shortest

the topest committee likes the shorter named woman in English. the best fail of C is pinyin.