AI整理,未全部查证,为防止出错可以下载文件自行查阅
文件参考:
- 中国计算机学会推荐国际学术会议和期刊目录(2022)
- 集成电路学院期刊与会议分类列表(研究生)(2023)
中国计算机学会推荐国际学术会议 (硬件设计相关)
| CCF等级 | 会议简称 | 会议全称 | 出版社 | 网址 | 华科集成毕业条件认定 |
|---|---|---|---|---|---|
| A | DAC | Design Automation Conference | ACM | https://dblp.uni-trier.de/db/conf/dac/ | A |
| A | ASPLOS | International Conference on Architectural Support for Programming Languages and Operating Systems | ACM | http://dblp.uni-trier.de/db/conf/asplos/ | - |
| A | ISCA | International Symposium on Computer Architecture | ACM/IEEE | http://dblp.uni-trier.de/db/conf/isca/ | - |
| A | MICRO | IEEE/ACM International Symposium on Microarchitecture | IEEE/ACM | https://dblp.uni-trier.de/db/conf/micro/index.html | A |
| A | HPCA | IEEE International Symposium on High Performance Computer Architecture | IEEE | http://dblp.uni-trier.de/db/conf/hpca/ | - |
| B | FPGA | ACM/SIGDA International Symposium on Field-Programmable Gate Arrays | ACM | http://dblp.uni-trier.de/db/conf/fpga/ | A |
| B | ICCAD | International Conference on Computer-Aided Design | IEEE/ACM | http://dblp.uni-trier.de/db/conf/iccad/ | A |
| B | ICCD | International Conference on Computer Design | IEEE | http://dblp.uni-trier.de/db/conf/iccd/ | - |
| B | DATE | Design, Automation & Test in Europe | IEEE/ACM | http://dblp.uni-trier.de/db/conf/date/ | A |
| B | CODES+ISSS | International Conference on Hardware/Software Co-design and System Synthesis | ACM/IEEE | https://dblp.uni-trier.de/db/conf/codesisss/index.html | - |
| B | HiPEAC | International Conference on High Performance and Embedded Architectures and Compilers | ACM | http://dblp.uni-trier.de/db/conf/hipeac/ | - |
| B | HOT CHIPS | Hot Chips: A Symposium on High Performance Chips | IEEE | https://dblp.org/db/conf/hotchips/index.html | A |
| B | CHES | International Conference on Cryptographic Hardware and Embedded Systems | IACR | https://dblp.org/db/conf/ches/ | A |
| C | ASP-DAC | Asia and South Pacific Design Automation Conference | ACM/IEEE | http://dblp.uni-trier.de/db/conf/aspdac | A |
| C | ISPD | International Symposium on Physical Design | ACM | http://dblp.uni-trier.de/db/conf/ispd/ | - |
| C | GLSVLSI | Great Lakes Symposium on VLSI | ACM/IEEE | http://dblp.uni-trier.de/db/conf/glvlsi/ | - |
| C | FPL | International Conference on Field-Programmable Logic and Applications | IEEE | http://dblp.uni-trier.de/db/conf/fpl/ | A |
| C | FCCM | IEEE Symposium on Field-Programmable Custom Computing Machines | IEEE | http://dblp.uni-trier.de/db/conf/fccm/ | - |
| C | FPT | International Conference on Field-Programmable Technology | IEEE | http://dblp.uni-trier.de/db/conf/fpt/ | A |
| C | CASES | International Conference on Compilers, Architectures, and Synthesis for Embedded Systems | ACM | http://dblp.uni-trier.de/db/conf/cases/index.html | - |
| C | ISLPED | International Symposium on Low Power Electronics and Design | ACM/IEEE | http://dblp.uni-trier.de/db/conf/islped/ | A |
| C | HOTI | IEEE Symposium on High-Performance Interconnects | IEEE | http://dblp.uni-trier.de/db/conf/hoti/ | - |
| C | ISCAS | IEEE International Symposium on Circuits and Systems | IEEE | http://dblp.uni-trier.de/db/conf/iscas/ | A |
华中科技大学集成电路学院研究生毕业条件会议 (非CCF推荐)
| 毕业条件等级 | 会议简称 | 会议全称 | 出版社/主办 |
|---|---|---|---|
| T2 | IEDM | IEEE International Electron Devices Meeting | IEEE |
| T2 | ISSCC | IEEE International Solid-State Circuits Conference | IEEE |
| T2 | VLSI | Symposia on Very Large Scale Integration Technology and Circuits | IEEE |
| A | ISPSD | IEEE International Symposium on Power Semiconductor Devices and ICs | IEEE |
| A | IEEE MEMS | IEEE International Conference on Micro Electro Mechanical Systems | IEEE |
| A | IEEE SENSORS | IEEE Sensors Conference | IEEE |
| A | ICEPT | International Conference on Electronic Packaging Technology | IEEE |
| A | IEEE IMS | IEEE/MTT-S International Microwave Symposium | IEEE |
| A | ESSDERC | European Solid-State Device Research Conference | IEEE/ESSCIRC |
| A | ESSCIRC | European Solid-State Circuits Conference | IEEE/ESSCIRC |
| A | IMW | IEEE International Memory Workshop | IEEE |
| A | APEC | Applied Power Electronics Conference | IEEE |
| A | IECON | Annual Conference of the IEEE Industrial Electronics Society | IEEE |
| A | RFIC | IEEE Radio Frequency Integrated Circuits Symposium | IEEE |
| A | ICSICT | IEEE International Conference on Solid-State and Integrated Circuit Technology | IEEE |
| A | IEEE EDSSC | IEEE International Conference of Electron Devices and Solid-State Circuits | IEEE |
| A | TRANSDUCERS | International Conference on Solid-State Sensors, Actuators and Microsystems | IEEE |
| A | EUROSENSORS | Eurosensors Conference | EUROSENSORS |
| A | NEMS | IEEE International Conference on Nano/Micro Engineered and Molecular Systems | IEEE |
| A | MWSCAS | International Midwest Symposium on Circuits and Systems | IEEE |
| A | BioCAS | IEEE Biomedical Circuits and Systems Conference | IEEE |
| A | IEEE APMC | IEEE Asia-Pacific Microwave Conference | IEEE |
| A | IEEE IUS | IEEE International Ultrasonics Symposium | IEEE |
| A | ASSCC | Asian Solid-State Circuits Conference | IEEE |
| A | ACCS | Asian Conference of Chemical Sensors | ACCS |
| A | ESSERC | European Solid-State Electronics Research Conference | IEEE |
| A | CICC | Custom Integrated Circuits Conference | IEEE |
| A | EPCOS | European Phase Change and Ovonic Symposium | EPCOS |
| A | IMCS | International Meeting on Chemical Sensors | IMCS |
| A | EDTM | IEEE Electron Devices Technology and Manufacturing | IEEE |
| A | ICTA | IEEE International Conference on Integrated Circuits, Technologies and Applications | IEEE |
| A | IEEE ICMMT | IEEE International Conference on Microwave and Millimeter Waves | IEEE |
| A | ASICON | IEEE International Conference on ASIC | IEEE |
| A | NVMTS | Non-Volatile Memory Technology Symposium | IEEE |
| A | MEMRISIS | International Conference on Memristive Materials, Devices & Systems | MEMRISIS |
| A | ICANS | International Conference on Amorphous and Nano-crystalline Semiconductors | ICANS |
| A | APCAP | Asia-Pacific Conference on Antenna and Propagation | IEEE |
| A | IWS | International Wireless Symposium | IEEE |
中国计算机学会推荐国际学术期刊 (硬件设计相关)
| CCF等级 | 会议简称 | 会议全称 | 出版社 | 网址 | 中科院分区 |
|---|---|---|---|---|---|
| A | TCAD | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | IEEE | http://dblp.uni-trier.de/db/journals/tcad/ | 计算机科学 2区 |
| A | TC | IEEE Transactions on Computers | IEEE | http://dblp.uni-trier.de/db/journals/tc/index.html | 计算机科学 2区 |
| A | TACO | ACM Transactions on Architecture and Code Optimization | ACM | http://dblp.uni-trier.de/db/journals/taco/ | 计算机科学 3区 |
| B | TODAES | ACM Transactions on Design Automation of Electronic Systems | ACM | http://dblp.uni-trier.de/db/journals/todaes/ | 计算机科学 4区 |
| B | TECS | ACM Transactions on Embedded Computing Systems | ACM | http://dblp.uni-trier.de/db/journals/tecs/ | 计算机科学 3区 |
| B | TRETS | ACM Transactions on Reconfigurable Technology and Systems | ACM | http://dblp.uni-trier.de/db/journals/trets/ | 计算机科学 3区 / 计算机:硬件 2区 |
| B | TVLSI | IEEE Transactions on Very Large Scale Integration (VLSI) Systems | IEEE | http://dblp.uni-trier.de/db/journals/tvlsi/ | 工程技术 2区 / 计算机:硬件 2区 |
| B | JSA | Journal of Systems Architecture: Embedded Software Design | Elsevier | http://dblp.uni-trier.de/db/journals/jsa/ | 计算机科学 3区 |
| C | JETC | ACM Journal on Emerging Technologies in Computing Systems | ACM | http://dblp.uni-trier.de/db/journals/jetc/ | 计算机科学 4区 |
| C | Integration | Integration, the VLSI Journal | Elsevier | http://dblp.uni-trier.de/db/journals/integration/ | 工程技术 3区 / 计算机:硬件 4区 |
| C | JETTA | Journal of Electronic Testing-Theory and Applications | Springer | https://dblp.org/db/journals/et/index.html | 工程技术 4区 |
| C | MICPRO | Microprocessors and Microsystems: Embedded Hardware Design | Elsevier | http://dblp.uni-trier.de/db/journals/mam/ | 计算机科学 4区 |
| C | RTS | Real-Time Systems | Springer | http://dblp.uni-trier.de/db/journals/rts/ | 计算机科学 4区 |
| C | TJSC | The Journal of Supercomputing | Springer | http://dblp.uni-trier.de/db/journals/tjs/ | 计算机科学 4区 |
| C | TCASI | IEEE Transactions on Circuits and Systems I: Regular Papers | IEEE | https://dblp.org/db/journals/tcasI/index.html | 工程技术 2区 |