ARM_day8:温湿度数据采集应用

1、IIC通信过程

主机发送起始信号、主机发送8位(7位从机地址+1位传送方向(0W,1R))、从机应答、发数据、应答、数据传输完,主机发送停止信号

2、起始信号和终止信号

SCL时钟线,SDA数据线

SCL高电平,SDA由高到低——起始信号

SCL高电平,SDA由低到高——终止信号

均由主机发出

3、应答信号和非应答信号

8位数据+1位应答位——一帧9位

8位数据传输完,第九个时钟周期,数据线(SDA)低电平,接收方还想接收数据,回复应答信号

                                                       数据线(SDA)高电平,接收方不想接收数据,回复非应信号

4、数据传输时机

时钟信号(SCL)高电平,数据线(SDA)稳定时,读取

时钟信号(SCL)低电平,数据线(SDA)高电平或低电平,写入

5、IIC从机选择及读写选择

传输信号包括地址信号、数据信号

起始信号后必须跟一个8位数据(7位从机地址+1位传送方向位(R/W))(0——W、1——R)

6、IIC读写时序

主机向从机发送:

主机发送起始信号、主机发送8位(7位从机地址+1位写标志)、从机应答、主机发送8位从机寄存器地址、从机应答、主机发送8位数据、从机应答、主机发起终止信号

主机读取从机数据:

主机发送起始信号、主机发送8位(7位从机地址+1位写标志)、从机应答、主机发起重复起始信号、主机发送8位(7位从机地址+1位读标志)、从机应答、从机发送8位数据、主机非应答信号、主机发起终止信号

实验:I2C读取温湿度传感器数据

1、SCL时钟线——PF14,SDA数据线——PF15        引脚连接

        I2C总线引脚初始化:(PF14、PF15共同初始化)使能GPIOF外设时钟、设输出功能、推挽输出、输出速度、上拉下拉、空闲状态下的SCL和SDA状态(拉高)——起始信号要求SCL高,SDA从高到低

2、模拟I2C开始信号时序

        SDA数据线保持输出状态  PF15输出;(PF15管脚设为输出)

        空闲状态SCL、SDA拉高(起始信号要求SCL高,SDA从高到低);(选用ODR(设置)、BSRR(复位)、BRR(清空)使输出高低电平)

        延迟一段时间,保持稳定后,拉低SDA数据线完成起始信号;

        延迟一段时间,拉低SCL时钟线,才能进行写入数据;

3、主机向从机写数据(高位到低位)

        SDA数据线保持输出状态  PF15输出;(PF15管脚设为输出)

        时钟线拉低,才能写数据;

        循环发送数据8位(0-7),延迟时间,保持时钟线稳定,开始发送数据;(要发送的数据 dat & 0x80(10000000),判断其真假,真则拉高数据线为高电平1,否则拉低数据线为低电平0)

        每次发送一位数据,延时后拉高时钟线(SCL),接收器才能读数据;

        延时等待接收器接收数据,再延时后将数据左移一位再&0x80进行循环

4、计算温湿度

        湿度:hem=125*测量值/65536-6

        温度:tem=175.72*测量值/65536-46.85

程序代码:

main.c:

#include "si7006.h"
extern void printf(const char* fmt, ...);
int main()
{//si7006初始化si7006_init();unsigned short hum;short tem;while(1){//读取温湿度hum=si70006_read_hum();tem=si70006_read_tem();//计算温湿度数据hum=hum*125/65536-6;tem=tem*175.72/65536-46.85;printf("hum:%d\n",hum);printf("tem:%d\n",tem);delay_ms(1000);//湿度大于65开启马达if(hum>65){GPIOF->ODR |= (0x1<<6);}else if(hum<=60)  //湿度小于60关闭马达{GPIOF->ODR &= (~(0x1<<6)); }//温度大于25开启风扇if(tem>=25){GPIOE->ODR |= (0x1<<9);}else if(tem<25)  //湿度小于25关闭风扇{GPIOE->ODR &= (~(0x1<<9)); }}return 0;
}

si7006.h:

#ifndef __SI7006_H__
#define __SI7006_H__
#include "iic.h"
void delay_ms(int ms);
void si7006_init();
unsigned short si70006_read_hum();
short si70006_read_tem();
#endif

si7006.c:

#include "si7006.h"
extern void printf(const char* fmt, ...);
void delay_ms(int ms)
{int i,j;for(i=0;i<ms;i++){for(j=0;j<2000;j++){}}
}
void si7006_init()
{//发起起始信号i2c_init();//I2C总线引脚初始化i2c_start();//模拟i2c开始信号的时序//发送7bit从机地址和写标志位 0x80i2c_write_byte(0x40<<1|0);//主机向从机写8bit数据//等待从机应答i2c_wait_ack();//等待接收器应答//发送寄存器地址 0XE6i2c_write_byte(0xE6);//等待从机应答i2c_wait_ack();//等待接收器应答//向从机发送数据 0x3Ai2c_write_byte(0x3A);//等待从机应答i2c_wait_ack();//发送终止信号i2c_stop();
}
unsigned short si70006_read_hum()//湿度读取
{unsigned char hum_l,hum_h;unsigned short hum;//主机发送起始信号i2c_init();i2c_start();//主机发送7bit从机地址+1bit写标志i2c_write_byte(0x40<<1|0);//等待从机应答i2c_wait_ack();//主机发送8bit寄存器地址i2c_write_byte(0xE5);//等待从机应答i2c_wait_ack();//主机发起重复起始信号i2c_start();//主机发送7bit从机地址+1bit 读 0x81i2c_write_byte(0x40<<1|1);//等待从机应答i2c_wait_ack();//延时等待从机测量数据delay_ms(100);//读取湿度的高8bit数据 hum_h//发送应答信号hum_h=i2c_read_byte(0);//读取湿度的低8位数据 hum_l//发送非应答信号hum_l=i2c_read_byte(1);//发送终止信号//将读取到的数据的低8位和高8位合成一个完整数据hum=hum_h<<8 | hum_l;return hum;
}
short si70006_read_tem()//温度读取
{unsigned char tem_l,tem_h;unsigned short tem;//主机发送起始信号i2c_init();i2c_start();//主机发送7bit从机地址+1bit写标志i2c_write_byte(0x40<<1|0);//等待从机应答i2c_wait_ack();//主机发送8bit寄存器地址i2c_write_byte(0xE3);//等待从机应答i2c_wait_ack();//主机发起重复起始信号i2c_start();//主机发送7bit从机地址+1bit 读 0x81i2c_write_byte(0x40<<1|1);//等待从机应答i2c_wait_ack();//延时等待从机测量数据delay_ms(100);//读取温度的高8bit数据 tem_h//发送应答信号tem_h=i2c_read_byte(0);//读取温度的低8位数据 tem_l//发送非应答信号tem_l=i2c_read_byte(1);//发送终止信号//将读取到的数据的低8位和高8位合成一个完整数据tem=tem_h<<8 | tem_l;return tem;
}

iic.h:

#ifndef __IIC_H__
#define __IIC_H__
#include "stm32mp1xx_gpio.h"
#include "stm32mp1xx_rcc.h"/* 通过程序模拟实现I2C总线的时序和协议* GPIOF ---> AHB4* I2C1_SCL ---> PF14* I2C1_SDA ---> PF15** */#define SET_SDA_OUT     do{GPIOF->MODER &= (~(0x3 << 30)); \GPIOF->MODER |= (0x1 << 30);}while(0)#define SET_SDA_IN      do{GPIOF->MODER &= (~(0x3 << 30));}while(0)#define I2C_SCL_H       do{GPIOF->BSRR |= (0x1 << 14);}while(0)
#define I2C_SCL_L       do{GPIOF->BRR |= (0x1 << 14);}while(0)#define I2C_SDA_H       do{GPIOF->BSRR |= (0x1 << 15);}while(0)
#define I2C_SDA_L       do{GPIOF->BRR |= (0x1 << 15);}while(0)#define I2C_SDA_READ    (GPIOF->IDR & (0x1 << 15))void delay_us(void);//微秒延时
void delay(int ms);
void i2c_init(void);//初始化
void i2c_start(void);//起始信号
void i2c_stop(void);//终止信号
void i2c_write_byte(unsigned char  dat);//写一个字节数据
unsigned char i2c_read_byte(unsigned char ack);//读取一个字节数据
unsigned char i2c_wait_ack(void);       //等待应答信号
void i2c_ack(void);//发送应答信号
void i2c_nack(void);//发送非应答信号#endif 

iic.c:

#include "iic.h"extern void printf(const char* fmt, ...);
/** 函数名 : delay_us* 函数功能:延时函数* 函数参数:无* 函数返回值:无* */
void delay_us(void)  //微秒级延时
{unsigned int i = 2000;while(i--);
}
/** 函数名 : i2c_init* 函数功能: i2C总线引脚的初始化, 通用输出,推挽输出,输出速度,* 函数参数:无* 函数返回值:无* */
void i2c_init(void)
{// 使能GPIOF端口的时钟RCC->MP_AHB4ENSETR |= (0x1 << 5);//使能风扇的时钟RCC->MP_APB2ENSETR |= 0x1;//使能马达的时钟RCC->MP_APB2ENSETR |= (0x1<<3);// 设置PF14,PF15引脚为通用的输出功能GPIOF->MODER &= (~(0xF << 28));GPIOF->MODER |= (0x5 << 28);//设置PE9为输出GPIOE->MODER &= (~(0x3<<18));GPIOE->MODER |= (0x1<<18);//设置PF6为输出GPIOF->MODER &= (~(0x3<<12));GPIOF->MODER |= (0x1<<12);// 设置PF14, PF15引脚为推挽输出GPIOF->OTYPER &= (~(0x3 << 14));//设置PE9为推挽输出GPIOE->OTYPER &= (~(0x1<<9));//设置PF6为推挽输出GPIOF->OTYPER &= (~(0x1<<6));// 设置PF14, PF15引脚为高速输出GPIOF->OSPEEDR |= (0xF << 28);//设置PE9为低速输出GPIOE->OSPEEDR &= (~(0x3<<18));//设置PF6为低速输出GPIOF->OSPEEDR &= (~(0x3<<12));// 设置PF14, PF15引脚的禁止上拉和下拉GPIOF->PUPDR &= (~(0xF << 28));//设置PE9没有上拉下拉电阻GPIOE->PUPDR &= (~(0x3<<18));//设置PF6没有上拉下拉电阻GPIOF->PUPDR &= (~(0x3<<12));// 空闲状态SDA和SCL拉高 I2C_SCL_H;I2C_SDA_H;
}/** 函数名:i2c_start* 函数功能:模拟i2c开始信号的时序* 函数参数:无* 函数返回值:无* */
void i2c_start(void)
{/** 开始信号:时钟在高电平期间,数据线从高到低的变化*     --------* SCL         \*              --------*     ----* SDA     \*          --------* */   //确保SDA是输出状态 PF15输出SET_SDA_OUT;// 空闲状态SDA和SCL拉高 I2C_SCL_H;I2C_SDA_H;delay_us();//延时等待一段时间I2C_SDA_L;//数据线拉低delay_us();//延时等待一段时间I2C_SCL_L;//时钟线拉低,让总线处于占用状态
}/** 函数名:i2c_stop* 函数功能:模拟i2c停止信号的时序* 函数参数:无* 函数返回值:无* */void i2c_stop(void)
{/** 停止信号 : 时钟在高电平期间,数据线从低到高的变化 *             ----------* SCL        /*    --------*    ---         -------* SDA   X       /*    --- -------* *///确保SDA是输出状态 PF15输出SET_SDA_OUT;//时钟线拉低I2C_SCL_L;//为了修改数据线的电平delay_us();//延时等待一段时间I2C_SDA_L;//数据线拉低delay_us();//延时等待一段时间//时钟线拉高I2C_SCL_H;delay_us();//延时等待一段时间I2C_SDA_H;//数据线拉高}/** 函数名: i2c_write_byte* 函数功能:主机向i2c总线上的从设备写8bits数据* 函数参数:dat : 等待发送的字节数据* 函数返回值: 无* */void i2c_write_byte(unsigned char dat)
{  /** 数据信号:时钟在低电平期间,发送器向数据线上写入数据*          时钟在高电平期间,接收器从数据线上读取数据 *      ----          --------*  SCL     \        /        \*           --------          --------*      -------- ------------------ ---*  SDA         X                  X*      -------- ------------------ ---**      先发送高位在发送低位 * *///确保SDA是输出状态 PF15输出SET_SDA_OUT;unsigned int i;for(i=0;i<8;i++){//时钟线拉低I2C_SCL_L;delay_us();//延时//0X3A->0011 1010   0X80->10000000if(dat&0X80)//最高位为1{//发送1I2C_SDA_H;}else  //最高位为0{I2C_SDA_L;//发送0}delay_us();//延时//时钟线拉高,接收器接收I2C_SCL_H;delay_us();//延时,用于等待接收器接收数据delay_us();//延时//将数据左移一位,让原来第6位变为第7位dat = dat<<1;}}/** 函数名:i2c_read_byte* 函数功能: 主机从i2c总线上的从设备读8bits数据, *          主机发送一个应答或者非应答信号* 函数参数: 0 : 应答信号   1 : 非应答信号* 函数返回值:读到的有效数据** */
unsigned char i2c_read_byte(unsigned char ack)
{/** 数据信号:时钟在低电平期间,发送器向数据线上写入数据*          时钟在高电平期间,接收器从数据线上读取数据 *      ----          --------*  SCL     \        /        \*           --------          --------*      -------- ------------------ ---*  SDA         X                  X*      -------- ------------------ ---**      先接收高位, 在接收低位 * */unsigned int i;unsigned char dat;//保存接受的数据//将数据线设置为输入SET_SDA_IN;for(i=0;i<8;i++){//先把时钟线拉低,等一段时间,保证发送器发送完毕数据I2C_SCL_L;delay_us();delay_us();//保证发送器发送完数据//时钟线拉高,读取数据I2C_SCL_H;delay_us();dat=dat<<1;//数值左移 一定要先左移在赋值,不然数据会溢出if(I2C_SDA_READ)//pf15管脚得到了一个高电平输入{dat |=1; //0000 0110}else{dat &=(~0X1);}delay_us();}if(ack){i2c_nack();//发送非应答信号,不再接收下一次数据}else{i2c_ack();//发送应答信号 }return dat;//将读取到的数据返回
}
/** 函数名: i2c_wait_ack* 函数功能: 主机作为发送器时,等待接收器返回的应答信号* 函数参数:无* 函数返回值:*                  0:接收到的应答信号*                  1:接收到的非应答信号* */
unsigned char i2c_wait_ack(void)
{/** 主机发送一个字节之后,从机给主机返回一个应答信号**                   -----------* SCL              /   M:读    \*     -------------             --------*     --- ---- --------------------* SDA    X    X*     ---      --------------------*     主  释   从机    主机*     机  放   向数据  读数据线*         总   线写    上的数据*         线   数据* */   //时钟线拉低,接收器可以发送信号I2C_SCL_L;I2C_SDA_H;//先把数据线拉高,当接收器回应应答信号时,数据线会拉低delay_us();SET_SDA_IN;//设置数据线为输入delay_us();//等待从机响应delay_us();I2C_SCL_H;//用于读取数据线数据if(I2C_SDA_READ)//PF15得到一个高电平输入,收到非应答信号return 1;I2C_SCL_L;//时钟线拉低,让数据线处于占用状态return 0;} 
/** 函数名: iic_ack* 函数功能: 主机作为接收器时,给发送器发送应答信号* 函数参数:无* 函数返回值:无* */
void i2c_ack(void)
{/*            --------* SCL       /        \*    -------          ------*    ---* SDA   X *    --- -------------* *///保证数据线是输出SET_SDA_OUT;I2C_SCL_L;//拉低时钟线delay_us();I2C_SDA_L;//数据线拉低,表示应答信号delay_us();I2C_SCL_H;//时钟线拉高,等待发送器读取应答信号delay_us();//让从机读取我们当前的回应delay_us();I2C_SCL_L;//数据线处于占用状态,发送器发送下一次数据}
/** 函数名: iic_nack* 函数功能: 主机作为接收器时,给发送器发送非应答信号* 函数参数:无* 函数返回值:无* */
void i2c_nack(void)
{/*            --------* SCL       /        \*    -------          ------*    --- ---------------* SDA   X *    --- * */   //保证数据线是输出SET_SDA_OUT;I2C_SCL_L;//拉低时钟线delay_us();I2C_SDA_H;//数据线拉高,表示非应答信号delay_us();I2C_SCL_H;//时钟线拉高,等待发送器读取应答信号delay_us();delay_us();I2C_SCL_L;//数据线处于占用状态,发送器发送下一次数据
}

运行结果:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/824771.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

汽车零部件制造迎来智能化升级,3D视觉定位系统助力无人化生产线建设

随着新能源汽车市场的蓬勃发展&#xff0c;汽车零部件制造行业正面临着前所未有的机遇与挑战。为了提高产能和产品加工精度&#xff0c;某专业铝合金汽车零部件制造商决定引进智能生产线&#xff0c;其中&#xff0c;对成垛摆放的变速箱壳体进行机床上料成为关键一环。 传统的上…

SpringBootSpringCloud升级可能会出现的问题

1.背景 之前负责过我们中台的SpringBoot和Cloud的升级&#xff0c;特次记录分享一下项目中可能出现的问题&#xff0c;方便后续的人快速定位问题。以及下述选择的解决方案都是基于让升级的服务影响和改动最小以及提供通用的解决方案的提前进行选择的。 1.1版本说明 升级前&a…

陇剑杯 省赛 攻击者1 CTF wireshark 流量分析

陇剑杯 省赛 攻击者1 题目 链接&#xff1a;https://pan.baidu.com/s/1KSSXOVNPC5hu_Mf60uKM2A?pwdhaek 提取码&#xff1a;haek ├───LogAnalize │ ├───linux简单日志分析 │ │ linux-log_2.zip │ │ │ ├───misc日志分析 │ │ acce…

Vue3项目 网易严选_学习笔记

Vue3项目 网易严选_第一天 主要内容 项目搭建vuex基础路由设计首页顶部和底部布局 学习目标 知识点要求项目搭建掌握vuex基础掌握路由设计掌握首页顶部和底部布局掌握 一、项目搭建 1.1 创建项目 vue create vue-wangyi选择vue3.0版本 1.2 目录调整 大致步骤&#xff…

Workerman开启ssl方法如下

参考地址 Workerman开启ssl方法如下-遇见你与你分享 准备工作&#xff1a; 1、Workerman版本不小于3.3.7 2、PHP安装了openssl扩展 3、已经申请了证书&#xff08;pem/crt文件及key文件&#xff09;放在了/etc/nginx/conf.d/ssl下 4、配置文件 location /wss { proxy_set…

unity制作拼接地图

前段时间有个朋友问我想要制作一款地图编辑器&#xff0c;最开始我还想着在一个平面用节点切割制作地图编辑器这倒是也行&#xff0c;但不太好控制每一个点&#xff0c;如果未来项目大了&#xff0c;更加不好维护。 偶然间翻到一篇文章&#xff1a;unity地图边缘检测 或许我们…

基于数字孪生的城市建模和仿真

近年来&#xff0c;数字孪生概念几乎呈爆炸式增长&#xff0c;利用该概念的科学文章数量呈指数级增长就证明了这一点。 这一概念源自制造业&#xff0c;使用 CAD 模型可以创建组件和产品的精确数字复制品。 该术语最早的使用可以追溯到 2003 年&#xff0c;通常归功于 Grieves …

vue3第二十节(新增编译宏defineModel)

为什么会需要使用defineModel() 注意&#xff1a;defineModel() 需要在3.4及以上版本才可使用&#xff1b; 组件之间通讯&#xff0c;通过 props 和 emits 进行通讯,是单向数据流&#xff0c;比如&#xff1a;props是自上而下的&#xff08;父组件数据修改导致子组件更新&…

生成人工智能体:人类行为的交互式模拟论文与源码架构解析(2)——架构分析 - 核心思想环境搭建技术选型

4.架构分析 4.1.核心思想 超越一阶提示&#xff0c;通过增加静态知识库和信息检索方案或简单的总结方案来扩展语言模型。 将这些想法扩展到构建一个代理架构&#xff0c;该架构处理检索&#xff0c;其中过去的经验在每个时步动态更新&#xff0c;并混合与npc当前上下文和计划…

【动态规划】切割钢条详解python

1. 问题介绍和应用场景 切割钢条问题是运筹学和算法设计中的一个经典问题&#xff0c;涉及如何最优化切割有限资源以最大化收益。这个问题经常用作动态规划教学的入门案例&#xff0c;同时在工业生产中也有实际应用&#xff0c;比如在金属加工业中如何切割原材料以减少浪费并增…

EelasticSearch是什么?及EelasticSearch的安装

一、概述 Elasticsearch 是一个基于 Apache Lucene 构建的开源分布式搜索引擎和分析引擎。它专为云计算环境设计&#xff0c;提供了一个分布式的、高可用的实时分析和搜索平台。Elasticsearch 可以处理大量数据&#xff0c;并且具备横向扩展能力&#xff0c;能够通过增加更多的…

Jmeter三个常用组件

Jmeter三个常用组件 一、线程组二、 HTTP请求三、查看结果树 线程组&#xff1a;jmeter是基于线程来运行的&#xff0c;线程组主要用来管理线程的数量&#xff0c;线程的执行策略。 HTTP请求&#xff1a;HTTP请求是jmeter接口测试的核心部分&#xff0c;主要使用HTTP取样器来发…

Android 12 如何加载 native 原生库

在 Android 7.0 及更高版本中&#xff0c;系统库与应用库是分开的。 图1. 原生库的命名空间 原生库的命名空间可防止应用使用私有平台的原生 API&#xff08;例如使用 OpenSSL&#xff09;。该命名空间还可以避免应用意外使用平台库&#xff08;而非它们自己的库&#xff09;的…

ES源码四:网络通信层流程

听说ES网络层很难&#xff1f;今天来卷它&#x1f604; 前言 ES网络层比较复杂&#xff0c;分为两个部分&#xff1a; 基于HTTP协议的REST服务端基于TCP实现的PRC框架 插件化设计的网络层模块&#xff08;NetworkModule&#xff09; 入口还是上一章的创建Node构造方法的地方…

【MySQL 安装与配置】Window简单安装MySQL,并配置局域网连接

文章日期&#xff1a;2024.04.17 系统&#xff1a;Window10 || Window11 类型&#xff1a;安装与配置MySQL数据库 文章全程已做去敏处理&#xff01;&#xff01;&#xff01; 【需要做的可联系我】 AES解密处理&#xff08;直接解密即可&#xff09;&#xff08;crypto-js.js…

系统稳定性建设

说到系统稳定性&#xff0c;不知道大家会想起什么&#xff1f;大多数人会觉得这个词挺虚的&#xff0c;不知道系统稳定性指的是什么。 一年前看到这个词&#xff0c;也是类似于这样的感受&#xff0c;大概只知道要消除单点、做好监控报警&#xff0c;但却并没有一个体系化的方…

记录一下我102连不上MySQL的问题 NotBefore

【背景描述】我在102上是能登录上MySQL的&#xff0c;但是用客户端&#xff08;DataGrip、SQLyog就连不上&#xff09; 【解决方案】 加个这个?useSSLfalse&serverTimezoneUTC 【另外的小问题】如果直接输mysql 上面这个不是报错&#xff0c;不用管 再输mysql -uroot -p…

upload-labs靶场详解

靶场环境 下载链接&#xff1a;https://codeload.github.com/c0ny1/upload-labs/zip/refs/heads/master 使用小皮集成环境来完成这个靶场 将文件放到WWW目录下就可以进行访问 进入关卡后页面呈现&#xff1a; Pass-01&#xff08;前端绕过&#xff09; 我们先尝试上传一个web.…

[svelte]属性和逻辑块

属性 / Default values • Svelte 教程 | Svelte 中文网 属性 Declaring props 到目前为止&#xff0c;我们只处理了内部状态——也就是说&#xff0c;这些值只能在给定的组件中访问。 在任何实际应用程序中&#xff0c;都需要将数据从一个组件向下传递到其子组件。为此&…

【Spring】-编程式事务和声明式事务

spring中控制事务的方式有两种&#xff1a;编程式事务和声明式事务&#xff0c;今天我以两种事务出发&#xff0c;对spring中实现事务的EnableTransactionManagement和Transaction两个注解的底层原理进行讨论。 一、编程式事务 什么是编程式事务&#xff1f; 硬编码的方式实现…