STM32H7的8个串口fifo收发(兼容232和485)

STM32H7的8个串口fifo收发(兼容232和485)

  • 串口硬件
    • 串口时序
    • 串口高级特性
    • 同步和异步的区别
    • 单工、半双工、全双工的区别
  • STM32H78个串口+fifo驱动
    • 定义数据结构uart_fifo.h
    • uart驱动包括中断配置等
  • 应用示例
  • RS485深入理解

仅供学习。
USART 的全称是 Universal synchronous asynchronous receiver transmitter,中文意思是通用同步异步收发器。我们经常使用串口是异步串口,简称 UART。

串口硬件

在这里插入图片描述
通过这个框图,我们可以得到如下信息:
IRQ Interface 中断接口
用于实现中断方式的串口唤醒 usart_wkup 和串口的相关中断 usart_it。
DMA Interface DMA 接口
实现串口发送 usart_tx_dma 和接收 usart_rx_dma 的 DMA 方式。
COM Contronller 串口控制器
串口相关的寄存器基本都在这部分。
TxFIFO 和 RxFIFO
串口的发送和接收都支持了硬件 FIFO 功能。
TX 和 RX 引脚的互换功能
发送偏移寄存器(TX Shift Reg)和接收偏移寄存器(RX Shift Reg)与 TX 引脚,RX 引脚之间弄了个交叉连接,这里的意思是支持了引脚互换功能,这样大家在设计 PCB 的时候就可以比较随性了,接反了也没有关系。
发送过程经过的寄存器
依次是 USART_TDR -> TxFIFO ->Tx Shift Reg 偏移寄存器 –> TX 或者 RX 引脚。
接收经过的寄存器
依次是 TX 或者 RX 引脚-> Rx Shift Reg 偏移寄存器->RxFIFO –>USART_RDR。
两个时钟 usart_pclk 和 usart_ker_ck
这两个时钟是独立的,作用如下:
usart_pclk
用于为外设总线提供时钟。
usart_ker_ck
串口外设的时钟源。

串口时序

在这里插入图片描述
可以看到串口开始为高电平,起始位为低电平,传输数据过程中高电平为1,低电平为0。
上图可以很清晰的看出TC和TXE置位的过程。

串口高级特性

相比 F1 和 F4 系列,H7 系列的串口支持了一些高级特性,比如:
◆ 数据逻辑电平翻转。
◆ RX 和 TX 引脚交换。
◆ 超时接收特性。
◆ MSB 位先发送。
◆ 自适应波特率。
◆ 外接 485 的 PHY 芯片时,硬件支持收发切换,无需用户手动控制 DE 引脚。
在这里插入图片描述

同步和异步的区别

同步串口和异步串口的区别,异步通信是按字符传输的。每传输一个字符就用起始位来进行收、发双方的同步,不会因收发双方的时钟频率的小的偏差导致错误。这种传输方式利用每一帧的起、止信号来建立发送与接收之间的同步。
异步的特点是:每帧内部各位均采用固定的时间间隔,而帧与帧之间的间隔是随机的。接收机完全靠每一帧的起始位和停止位来识别字符是正在进行传输还是传输结束。
同步通信的发送和接收双方要保持完全的同步,因此要求接收和发送设备必须使用同一时钟。优点是可以实现高速度、大容量的数据传送;缺点是要求发生时钟和接收时钟保持严格同步,同时硬件复杂。
可以这样说,不管是异步通信还是同步通信都需要进行同步,只是异步通信通过传送字符内的起始位来进行同步,而同步通信采用共用外部时钟来进行同步。所以,可以说前者是自同步,后者是外同步。

单工、半双工、全双工的区别

单工:在一个单工的串行通讯系统中,一般至少有两根线(信号线和地线),数据传送只有一个方向,例如可以使用单工数据传送将数据从一个简单的数据监测系统传送到 PC 上。
半双工:在半双工串行通信系统中,一般同样要求至少有两根线。这里的数据传送是双向的。然而,同一个时刻只能为一个方向。在上面的数据监测的例子中做了一些变化,可以使用半双工通讯机制发送信息到嵌入式模块(来设置参数,比如采样率)。此外,在其他时候,可以使用这个种连接将嵌入式装置上的数据下载到 PC 中。
全双工:在一个全双工的串行通信系统中,一般要求至少有三根线(信号线 A,信号线 B 和地线)。信号线 A 将传输一个方向上的数据,同时信号线 B 传送另一个方向上的数据。

STM32H78个串口+fifo驱动

定义数据结构uart_fifo.h

#define	UART1_FIFO_EN	1
#define	UART2_FIFO_EN	0
#define	UART3_FIFO_EN	1
#define	UART4_FIFO_EN	0
#define	UART5_FIFO_EN	0
#define	UART6_FIFO_EN	0
#define	UART7_FIFO_EN	0
#define	UART8_FIFO_EN	0/* PB2 控制RS485芯片的发送使能 */
#define RS485_TXEN_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOB_CLK_ENABLE()
#define RS485_TXEN_GPIO_PORT              GPIOB
#define RS485_TXEN_PIN                    GPIO_PIN_2#define RS485_RX_EN()	RS485_TXEN_GPIO_PORT->BSRRH = RS485_TXEN_PIN
#define RS485_TX_EN()	RS485_TXEN_GPIO_PORT->BSRRL = RS485_TXEN_PIN/* 定义端口号 */
typedef enum
{COM1 = 0,	/* USART1 */COM2 = 1,	/* USART2 */COM3 = 2,	/* USART3 */COM4 = 3,	/* UART4 */COM5 = 4,	/* UART5 */COM6 = 5,	/* USART6 */COM7 = 6,	/* UART7 */	COM8 = 7	/* UART8 */	
}COM_PORT_E;/* 定义串口波特率和FIFO缓冲区大小,分为发送缓冲区和接收缓冲区, 支持全双工 */
#if UART1_FIFO_EN == 1#define UART1_BAUD			115200#define UART1_TX_BUF_SIZE	1*1024#define UART1_RX_BUF_SIZE	1*1024
#endif#if UART2_FIFO_EN == 1#define UART2_BAUD			9600#define UART2_TX_BUF_SIZE	10#define UART2_RX_BUF_SIZE	2*1024
#endif#if UART3_FIFO_EN == 1#define UART3_BAUD			9600#define UART3_TX_BUF_SIZE	1*1024#define UART3_RX_BUF_SIZE	1*1024
#endif#if UART4_FIFO_EN == 1#define UART4_BAUD			115200#define UART4_TX_BUF_SIZE	1*1024#define UART4_RX_BUF_SIZE	1*1024
#endif#if UART5_FIFO_EN == 1#define UART5_BAUD			115200#define UART5_TX_BUF_SIZE	1*1024#define UART5_RX_BUF_SIZE	1*1024
#endif#if UART6_FIFO_EN == 1#define UART6_BAUD			115200#define UART6_TX_BUF_SIZE	1*1024#define UART6_RX_BUF_SIZE	1*1024
#endif#if UART7_FIFO_EN == 1#define UART7_BAUD			115200#define UART7_TX_BUF_SIZE	1*1024#define UART7_RX_BUF_SIZE	1*1024
#endif#if UART8_FIFO_EN == 1#define UART8_BAUD			115200#define UART8_TX_BUF_SIZE	1*1024#define UART8_RX_BUF_SIZE	1*1024
#endif/* 串口设备结构体 */
typedef struct
{USART_TypeDef *uart;		/* STM32内部串口设备指针 */uint8_t *pTxBuf;			/* 发送缓冲区 */uint8_t *pRxBuf;			/* 接收缓冲区 */uint16_t usTxBufSize;		/* 发送缓冲区大小 */uint16_t usRxBufSize;		/* 接收缓冲区大小 */__IO uint16_t usTxWrite;	/* 发送缓冲区写指针 */__IO uint16_t usTxRead;		/* 发送缓冲区读指针 */__IO uint16_t usTxCount;	/* 等待发送的数据个数 */__IO uint16_t usRxWrite;	/* 接收缓冲区写指针 */__IO uint16_t usRxRead;		/* 接收缓冲区读指针 */__IO uint16_t usRxCount;	/* 还未读取的新数据个数 */void (*SendBefor)(void); 	/* 开始发送之前的回调函数指针(主要用于RS485切换到发送模式) */void (*SendOver)(void); 	/* 发送完毕的回调函数指针(主要用于RS485将发送模式切换为接收模式) */void (*ReciveNew)(uint8_t _byte);	/* 串口收到数据的回调函数指针 */uint8_t Sending;			/* 正在发送中 */
}UART_T;void bsp_InitUart(void);
void comSendBuf(COM_PORT_E _ucPort, uint8_t *_ucaBuf, uint16_t _usLen);
void comSendChar(COM_PORT_E _ucPort, uint8_t _ucByte);
uint8_t comGetChar(COM_PORT_E _ucPort, uint8_t *_pByte);
void comSendBuf(COM_PORT_E _ucPort, uint8_t *_ucaBuf, uint16_t _usLen);
void comClearTxFifo(COM_PORT_E _ucPort);
void comClearRxFifo(COM_PORT_E _ucPort);
void comSetBaud(COM_PORT_E _ucPort, uint32_t _BaudRate);void USART_SetBaudRate(USART_TypeDef* USARTx, uint32_t BaudRate);
void bsp_SetUartParam(USART_TypeDef *Instance,  uint32_t BaudRate, uint32_t Parity, uint32_t Mode);void RS485_SendBuf(uint8_t *_ucaBuf, uint16_t _usLen);
void RS485_SendStr(char *_pBuf);
void RS485_SetBaud(uint32_t _baud);
uint8_t UartTxEmpty(COM_PORT_E _ucPort);#endif

uart驱动包括中断配置等

/* 串口1的GPIO  PA9, PA10   RS323 DB9接口 */
#define USART1_CLK_ENABLE()              __HAL_RCC_USART1_CLK_ENABLE()#define USART1_TX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOA_CLK_ENABLE()
#define USART1_TX_GPIO_PORT              GPIOA
#define USART1_TX_PIN                    GPIO_PIN_9
#define USART1_TX_AF                     GPIO_AF7_USART1#define USART1_RX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOA_CLK_ENABLE()
#define USART1_RX_GPIO_PORT              GPIOA
#define USART1_RX_PIN                    GPIO_PIN_10
#define USART1_RX_AF                     GPIO_AF7_USART1/* 串口2的GPIO --- PA2 PA3  GPS (只用RX。 TX被以太网占用) */
#define USART2_CLK_ENABLE()              __HAL_RCC_USART2_CLK_ENABLE()#define USART2_TX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOA_CLK_ENABLE()
#define USART2_TX_GPIO_PORT              GPIOA
#define USART2_TX_PIN                    GPIO_PIN_2
#define USART2_TX_AF                     GPIO_AF7_USART2#define USART2_RX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOA_CLK_ENABLE()
#define USART2_RX_GPIO_PORT              GPIOA
#define USART2_RX_PIN                    GPIO_PIN_3
#define USART2_RX_AF                     GPIO_AF7_USART2/* 串口3的GPIO --- PB10 PB11  RS485 */
#define USART3_CLK_ENABLE()              __HAL_RCC_USART3_CLK_ENABLE()#define USART3_TX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOB_CLK_ENABLE()
#define USART3_TX_GPIO_PORT              GPIOB
#define USART3_TX_PIN                    GPIO_PIN_10
#define USART3_TX_AF                     GPIO_AF7_USART3#define USART3_RX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOB_CLK_ENABLE()
#define USART3_RX_GPIO_PORT              GPIOB
#define USART3_RX_PIN                    GPIO_PIN_11
#define USART3_RX_AF                     GPIO_AF7_USART3/* 串口4的GPIO --- PC10 PC11  被SD卡占用 */
#define UART4_CLK_ENABLE()              __HAL_RCC_UART4_CLK_ENABLE()#define UART4_TX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOC_CLK_ENABLE()
#define UART4_TX_GPIO_PORT              GPIOC
#define UART4_TX_PIN                    GPIO_PIN_10
#define UART4_TX_AF                     GPIO_AF8_UART4#define UART4_RX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOC_CLK_ENABLE()
#define UART4_RX_GPIO_PORT              GPIOC
#define UART4_RX_PIN                    GPIO_PIN_11
#define UART4_RX_AF                     GPIO_AF8_UART4/* 串口5的GPIO --- PC12/UART5_TX PD2/UART5_RX (被SD卡占用) */
#define UART5_CLK_ENABLE()              __HAL_RCC_UART5_CLK_ENABLE()#define UART5_TX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOC_CLK_ENABLE()
#define UART5_TX_GPIO_PORT              GPIOC
#define UART5_TX_PIN                    GPIO_PIN_12
#define UART5_TX_AF                     GPIO_AF8_UART5#define UART5_RX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOD_CLK_ENABLE()
#define UART5_RX_GPIO_PORT              GPIOD
#define UART5_RX_PIN                    GPIO_PIN_2
#define UART5_RX_AF                     GPIO_AF8_UART5/* 串口6的GPIO --- PG14 PC7  GPRS */
#define USART6_CLK_ENABLE()              __HAL_RCC_USART6_CLK_ENABLE()#define USART6_TX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOG_CLK_ENABLE()
#define USART6_TX_GPIO_PORT              GPIOG
#define USART6_TX_PIN                    GPIO_PIN_14
#define USART6_TX_AF                     GPIO_AF7_USART6#define USART6_RX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOC_CLK_ENABLE()
#define USART6_RX_GPIO_PORT              GPIOC
#define USART6_RX_PIN                    GPIO_PIN_7
#define USART6_RX_AF                     GPIO_AF7_USART6/* 串口7的GPIO --- PB4/UART7_TX, PB3/UART7_RX   (被SPI3 占用) */
#define UART7_CLK_ENABLE()              __HAL_RCC_UART7_CLK_ENABLE()#define UART7_TX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOB_CLK_ENABLE()
#define UART7_TX_GPIO_PORT              GPIOB
#define UART7_TX_PIN                    GPIO_PIN_4
#define UART7_TX_AF                     GPIO_AF11_UART7#define UART7_RX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOB_CLK_ENABLE()
#define UART7_RX_GPIO_PORT              GPIOB
#define UART7_RX_PIN                    GPIO_PIN_3
#define UART7_RX_AF                     GPIO_AF11_UART7/* 串口8的GPIO --- PJ8/UART8_TX, PJ9/UART8_RX   (RGB硬件接口占用) */
#define UART8_CLK_ENABLE()              __HAL_RCC_UART8_CLK_ENABLE()#define UART8_TX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOJ_CLK_ENABLE()
#define UART8_TX_GPIO_PORT              GPIOJ
#define UART8_TX_PIN                    GPIO_PIN_8
#define UART8_TX_AF                     GPIO_AF8_UART8#define UART8_RX_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOJ_CLK_ENABLE()
#define UART8_RX_GPIO_PORT              GPIOJ
#define UART8_RX_PIN                    GPIO_PIN_9
#define UART8_RX_AF                     GPIO_AF8_UART8/* 定义每个串口结构体变量 */
#if UART1_FIFO_EN == 1static UART_T g_tUart1;static uint8_t g_TxBuf1[UART1_TX_BUF_SIZE];		/* 发送缓冲区 */static uint8_t g_RxBuf1[UART1_RX_BUF_SIZE];		/* 接收缓冲区 */
#endif#if UART2_FIFO_EN == 1static UART_T g_tUart2;static uint8_t g_TxBuf2[UART2_TX_BUF_SIZE];		/* 发送缓冲区 */static uint8_t g_RxBuf2[UART2_RX_BUF_SIZE];		/* 接收缓冲区 */
#endif#if UART3_FIFO_EN == 1static UART_T g_tUart3;static uint8_t g_TxBuf3[UART3_TX_BUF_SIZE];		/* 发送缓冲区 */static uint8_t g_RxBuf3[UART3_RX_BUF_SIZE];		/* 接收缓冲区 */
#endif#if UART4_FIFO_EN == 1static UART_T g_tUart4;static uint8_t g_TxBuf4[UART4_TX_BUF_SIZE];		/* 发送缓冲区 */static uint8_t g_RxBuf4[UART4_RX_BUF_SIZE];		/* 接收缓冲区 */
#endif#if UART5_FIFO_EN == 1static UART_T g_tUart5;static uint8_t g_TxBuf5[UART5_TX_BUF_SIZE];		/* 发送缓冲区 */static uint8_t g_RxBuf5[UART5_RX_BUF_SIZE];		/* 接收缓冲区 */
#endif#if UART6_FIFO_EN == 1static UART_T g_tUart6;static uint8_t g_TxBuf6[UART6_TX_BUF_SIZE];		/* 发送缓冲区 */static uint8_t g_RxBuf6[UART6_RX_BUF_SIZE];		/* 接收缓冲区 */
#endif#if UART7_FIFO_EN == 1static UART_T g_tUart7;static uint8_t g_TxBuf7[UART7_TX_BUF_SIZE];		/* 发送缓冲区 */static uint8_t g_RxBuf7[UART7_RX_BUF_SIZE];		/* 接收缓冲区 */
#endif#if UART8_FIFO_EN == 1static UART_T g_tUart8;static uint8_t g_TxBuf8[UART8_TX_BUF_SIZE];		/* 发送缓冲区 */static uint8_t g_RxBuf8[UART8_RX_BUF_SIZE];		/* 接收缓冲区 */
#endifstatic void UartVarInit(void);static void InitHardUart(void);
static void UartSend(UART_T *_pUart, uint8_t *_ucaBuf, uint16_t _usLen);
static uint8_t UartGetChar(UART_T *_pUart, uint8_t *_pByte);
static void UartIRQ(UART_T *_pUart);void RS485_InitTXE(void);/*
*********************************************************************************************************
*	函 数 名: bsp_InitUart
*	功能说明: 初始化串口硬件,并对全局变量赋初值.
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
void bsp_InitUart(void)
{UartVarInit();		/* 必须先初始化全局变量,再配置硬件 */InitHardUart();		/* 配置串口的硬件参数(波特率等) */RS485_InitTXE();	/* 配置RS485芯片的发送使能硬件,配置为推挽输出 */
}/*
*********************************************************************************************************
*	函 数 名: ComToUart
*	功能说明: 将COM端口号转换为UART指针
*	形    参: _ucPort: 端口号(COM1 - COM8)
*	返 回 值: uart指针
*********************************************************************************************************
*/
UART_T *ComToUart(COM_PORT_E _ucPort)
{if (_ucPort == COM1){#if UART1_FIFO_EN == 1return &g_tUart1;#elsereturn 0;#endif}else if (_ucPort == COM2){#if UART2_FIFO_EN == 1return &g_tUart2;#elsereturn 0;#endif}else if (_ucPort == COM3){#if UART3_FIFO_EN == 1return &g_tUart3;#elsereturn 0;#endif}else if (_ucPort == COM4){#if UART4_FIFO_EN == 1return &g_tUart4;#elsereturn 0;#endif}else if (_ucPort == COM5){#if UART5_FIFO_EN == 1return &g_tUart5;#elsereturn 0;#endif}else if (_ucPort == COM6){#if UART6_FIFO_EN == 1return &g_tUart6;#elsereturn 0;#endif}else if (_ucPort == COM7){#if UART7_FIFO_EN == 1return &g_tUart7;#elsereturn 0;#endif}else if (_ucPort == COM8){#if UART8_FIFO_EN == 1return &g_tUart8;#elsereturn 0;#endif}	else{Error_Handler(__FILE__, __LINE__);return 0;}
}/*
*********************************************************************************************************
*	函 数 名: ComToUart
*	功能说明: 将COM端口号转换为 USART_TypeDef* USARTx
*	形    参: _ucPort: 端口号(COM1 - COM8)
*	返 回 值: USART_TypeDef*,  USART1, USART2, USART3, UART4, UART5,USART6,UART7,UART8。
*********************************************************************************************************
*/
USART_TypeDef *ComToUSARTx(COM_PORT_E _ucPort)
{if (_ucPort == COM1){#if UART1_FIFO_EN == 1return USART1;#elsereturn 0;#endif}else if (_ucPort == COM2){#if UART2_FIFO_EN == 1return USART2;#elsereturn 0;#endif}else if (_ucPort == COM3){#if UART3_FIFO_EN == 1return USART3;#elsereturn 0;#endif}else if (_ucPort == COM4){#if UART4_FIFO_EN == 1return UART4;#elsereturn 0;#endif}else if (_ucPort == COM5){#if UART5_FIFO_EN == 1return UART5;#elsereturn 0;#endif}else if (_ucPort == COM6){#if UART6_FIFO_EN == 1return USART6;#elsereturn 0;#endif}else if (_ucPort == COM7){#if UART7_FIFO_EN == 1return UART7;#elsereturn 0;#endif}else if (_ucPort == COM8){#if UART8_FIFO_EN == 1return UART8;#elsereturn 0;#endif}	else{/* 不做任何处理 */return 0;}
}/*
*********************************************************************************************************
*	函 数 名: comSendBuf
*	功能说明: 向串口发送一组数据。数据放到发送缓冲区后立即返回,由中断服务程序在后台完成发送
*	形    参: _ucPort: 端口号(COM1 - COM8)
*			  _ucaBuf: 待发送的数据缓冲区
*			  _usLen : 数据长度
*	返 回 值: 无
*********************************************************************************************************
*/
void comSendBuf(COM_PORT_E _ucPort, uint8_t *_ucaBuf, uint16_t _usLen)
{UART_T *pUart;pUart = ComToUart(_ucPort);if (pUart == 0){return;}if (pUart->SendBefor != 0){pUart->SendBefor();		/* 如果是RS485通信,可以在这个函数中将RS485设置为发送模式 */}UartSend(pUart, _ucaBuf, _usLen);
}/*
*********************************************************************************************************
*	函 数 名: comSendChar
*	功能说明: 向串口发送1个字节。数据放到发送缓冲区后立即返回,由中断服务程序在后台完成发送
*	形    参: _ucPort: 端口号(COM1 - COM8)
*			  _ucByte: 待发送的数据
*	返 回 值: 无
*********************************************************************************************************
*/
void comSendChar(COM_PORT_E _ucPort, uint8_t _ucByte)
{comSendBuf(_ucPort, &_ucByte, 1);
}/*
*********************************************************************************************************
*	函 数 名: comGetChar
*	功能说明: 从接收缓冲区读取1字节,非阻塞。无论有无数据均立即返回。
*	形    参: _ucPort: 端口号(COM1 - COM8)
*			  _pByte: 接收到的数据存放在这个地址
*	返 回 值: 0 表示无数据, 1 表示读取到有效字节
*********************************************************************************************************
*/
uint8_t comGetChar(COM_PORT_E _ucPort, uint8_t *_pByte)
{UART_T *pUart;pUart = ComToUart(_ucPort);if (pUart == 0){return 0;}return UartGetChar(pUart, _pByte);
}/*
*********************************************************************************************************
*	函 数 名: comClearTxFifo
*	功能说明: 清零串口发送缓冲区
*	形    参: _ucPort: 端口号(COM1 - COM8)
*	返 回 值: 无
*********************************************************************************************************
*/
void comClearTxFifo(COM_PORT_E _ucPort)
{UART_T *pUart;pUart = ComToUart(_ucPort);if (pUart == 0){return;}pUart->usTxWrite = 0;pUart->usTxRead = 0;pUart->usTxCount = 0;
}/*
*********************************************************************************************************
*	函 数 名: comClearRxFifo
*	功能说明: 清零串口接收缓冲区
*	形    参: _ucPort: 端口号(COM1 - COM8)
*	返 回 值: 无
*********************************************************************************************************
*/
void comClearRxFifo(COM_PORT_E _ucPort)
{UART_T *pUart;pUart = ComToUart(_ucPort);if (pUart == 0){return;}pUart->usRxWrite = 0;pUart->usRxRead = 0;pUart->usRxCount = 0;
}/*
*********************************************************************************************************
*	函 数 名: comSetBaud
*	功能说明: 设置串口的波特率. 本函数固定设置为无校验,收发都使能模式
*	形    参: _ucPort: 端口号(COM1 - COM8)
*			  _BaudRate: 波特率,8倍过采样  波特率.0-12.5Mbps
*                                16倍过采样 波特率.0-6.25Mbps
*	返 回 值: 无
*********************************************************************************************************
*/
void comSetBaud(COM_PORT_E _ucPort, uint32_t _BaudRate)
{USART_TypeDef* USARTx;USARTx = ComToUSARTx(_ucPort);if (USARTx == 0){return;}bsp_SetUartParam(USARTx,  _BaudRate, UART_PARITY_NONE, UART_MODE_TX_RX);
}/* 如果是RS485通信,请按如下格式编写函数, 我们仅举了 USART3作为RS485的例子 *//*
*********************************************************************************************************
*	函 数 名: RS485_InitTXE
*	功能说明: 配置RS485发送使能口线 TXE
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
void RS485_InitTXE(void)
{GPIO_InitTypeDef gpio_init;/* 打开GPIO时钟 */RS485_TXEN_GPIO_CLK_ENABLE();/* 配置引脚为推挽输出 */gpio_init.Mode = GPIO_MODE_OUTPUT_PP;			/* 推挽输出 */gpio_init.Pull = GPIO_NOPULL;					/* 上下拉电阻不使能 */gpio_init.Speed = GPIO_SPEED_FREQ_VERY_HIGH;	/* GPIO速度等级 */gpio_init.Pin = RS485_TXEN_PIN;HAL_GPIO_Init(RS485_TXEN_GPIO_PORT, &gpio_init);	
}/*
*********************************************************************************************************
*	函 数 名: RS485_SetBaud
*	功能说明: 修改485串口的波特率。
*	形    参: _baud : 8倍过采样  波特率.0-12.5Mbps
*                     16倍过采样 波特率.0-6.25Mbps
*	返 回 值: 无
*********************************************************************************************************
*/
void RS485_SetBaud(uint32_t _baud)
{comSetBaud(COM3, _baud);
}/*
*********************************************************************************************************
*	函 数 名: RS485_SendBefor
*	功能说明: 发送数据前的准备工作。对于RS485通信,请设置RS485芯片为发送状态,
*			  并修改 UartVarInit()中的函数指针等于本函数名,比如 g_tUart2.SendBefor = RS485_SendBefor
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
void RS485_SendBefor(void)
{RS485_TX_EN();	/* 切换RS485收发芯片为发送模式 */
}/*
*********************************************************************************************************
*	函 数 名: RS485_SendOver
*	功能说明: 发送一串数据结束后的善后处理。对于RS485通信,请设置RS485芯片为接收状态,
*			  并修改 UartVarInit()中的函数指针等于本函数名,比如 g_tUart2.SendOver = RS485_SendOver
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
void RS485_SendOver(void)
{RS485_RX_EN();	/* 切换RS485收发芯片为接收模式 */
}/*
*********************************************************************************************************
*	函 数 名: RS485_SendBuf
*	功能说明: 通过RS485芯片发送一串数据。注意,本函数不等待发送完毕。
*	形    参: _ucaBuf : 数据缓冲区
*			  _usLen : 数据长度
*	返 回 值: 无
*********************************************************************************************************
*/
void RS485_SendBuf(uint8_t *_ucaBuf, uint16_t _usLen)
{comSendBuf(COM3, _ucaBuf, _usLen);
}/*
*********************************************************************************************************
*	函 数 名: RS485_SendStr
*	功能说明: 向485总线发送一个字符串,0结束。
*	形    参: _pBuf 字符串,0结束
*	返 回 值: 无
*********************************************************************************************************
*/
void RS485_SendStr(char *_pBuf)
{RS485_SendBuf((uint8_t *)_pBuf, strlen(_pBuf));
}/*
*********************************************************************************************************
*	函 数 名: RS485_ReciveNew
*	功能说明: 接收到新的数据
*	形    参: _byte 接收到的新数据
*	返 回 值: 无
*********************************************************************************************************
*/
//extern void MODH_ReciveNew(uint8_t _byte);
void RS485_ReciveNew(uint8_t _byte)
{
//	MODH_ReciveNew(_byte);
}/*
*********************************************************************************************************
*	函 数 名: UartVarInit
*	功能说明: 初始化串口相关的变量
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
static void UartVarInit(void)
{
#if UART1_FIFO_EN == 1g_tUart1.uart = USART1;						/* STM32 串口设备 */g_tUart1.pTxBuf = g_TxBuf1;					/* 发送缓冲区指针 */g_tUart1.pRxBuf = g_RxBuf1;					/* 接收缓冲区指针 */g_tUart1.usTxBufSize = UART1_TX_BUF_SIZE;	/* 发送缓冲区大小 */g_tUart1.usRxBufSize = UART1_RX_BUF_SIZE;	/* 接收缓冲区大小 */g_tUart1.usTxWrite = 0;						/* 发送FIFO写索引 */g_tUart1.usTxRead = 0;						/* 发送FIFO读索引 */g_tUart1.usRxWrite = 0;						/* 接收FIFO写索引 */g_tUart1.usRxRead = 0;						/* 接收FIFO读索引 */g_tUart1.usRxCount = 0;						/* 接收到的新数据个数 */g_tUart1.usTxCount = 0;						/* 待发送的数据个数 */g_tUart1.SendBefor = 0;						/* 发送数据前的回调函数 */g_tUart1.SendOver = 0;						/* 发送完毕后的回调函数 */g_tUart1.ReciveNew = 0;						/* 接收到新数据后的回调函数 */g_tUart1.Sending = 0;						/* 正在发送中标志 */
#endif#if UART2_FIFO_EN == 1g_tUart2.uart = USART2;						/* STM32 串口设备 */g_tUart2.pTxBuf = g_TxBuf2;					/* 发送缓冲区指针 */g_tUart2.pRxBuf = g_RxBuf2;					/* 接收缓冲区指针 */g_tUart2.usTxBufSize = UART2_TX_BUF_SIZE;	/* 发送缓冲区大小 */g_tUart2.usRxBufSize = UART2_RX_BUF_SIZE;	/* 接收缓冲区大小 */g_tUart2.usTxWrite = 0;						/* 发送FIFO写索引 */g_tUart2.usTxRead = 0;						/* 发送FIFO读索引 */g_tUart2.usRxWrite = 0;						/* 接收FIFO写索引 */g_tUart2.usRxRead = 0;						/* 接收FIFO读索引 */g_tUart2.usRxCount = 0;						/* 接收到的新数据个数 */g_tUart2.usTxCount = 0;						/* 待发送的数据个数 */g_tUart2.SendBefor = 0;						/* 发送数据前的回调函数 */g_tUart2.SendOver = 0;						/* 发送完毕后的回调函数 */g_tUart2.ReciveNew = 0;						/* 接收到新数据后的回调函数 */g_tUart2.Sending = 0;						/* 正在发送中标志 */
#endif#if UART3_FIFO_EN == 1g_tUart3.uart = USART3;						/* STM32 串口设备 */g_tUart3.pTxBuf = g_TxBuf3;					/* 发送缓冲区指针 */g_tUart3.pRxBuf = g_RxBuf3;					/* 接收缓冲区指针 */g_tUart3.usTxBufSize = UART3_TX_BUF_SIZE;	/* 发送缓冲区大小 */g_tUart3.usRxBufSize = UART3_RX_BUF_SIZE;	/* 接收缓冲区大小 */g_tUart3.usTxWrite = 0;						/* 发送FIFO写索引 */g_tUart3.usTxRead = 0;						/* 发送FIFO读索引 */g_tUart3.usRxWrite = 0;						/* 接收FIFO写索引 */g_tUart3.usRxRead = 0;						/* 接收FIFO读索引 */g_tUart3.usRxCount = 0;						/* 接收到的新数据个数 */g_tUart3.usTxCount = 0;						/* 待发送的数据个数 */g_tUart3.SendBefor = RS485_SendBefor;		/* 发送数据前的回调函数 */g_tUart3.SendOver = RS485_SendOver;			/* 发送完毕后的回调函数 */g_tUart3.ReciveNew = RS485_ReciveNew;		/* 接收到新数据后的回调函数 */g_tUart3.Sending = 0;						/* 正在发送中标志 */
#endif#if UART4_FIFO_EN == 1g_tUart4.uart = UART4;						/* STM32 串口设备 */g_tUart4.pTxBuf = g_TxBuf4;					/* 发送缓冲区指针 */g_tUart4.pRxBuf = g_RxBuf4;					/* 接收缓冲区指针 */g_tUart4.usTxBufSize = UART4_TX_BUF_SIZE;	/* 发送缓冲区大小 */g_tUart4.usRxBufSize = UART4_RX_BUF_SIZE;	/* 接收缓冲区大小 */g_tUart4.usTxWrite = 0;						/* 发送FIFO写索引 */g_tUart4.usTxRead = 0;						/* 发送FIFO读索引 */g_tUart4.usRxWrite = 0;						/* 接收FIFO写索引 */g_tUart4.usRxRead = 0;						/* 接收FIFO读索引 */g_tUart4.usRxCount = 0;						/* 接收到的新数据个数 */g_tUart4.usTxCount = 0;						/* 待发送的数据个数 */g_tUart4.SendBefor = 0;						/* 发送数据前的回调函数 */g_tUart4.SendOver = 0;						/* 发送完毕后的回调函数 */g_tUart4.ReciveNew = 0;						/* 接收到新数据后的回调函数 */g_tUart4.Sending = 0;						/* 正在发送中标志 */
#endif#if UART5_FIFO_EN == 1g_tUart5.uart = UART5;						/* STM32 串口设备 */g_tUart5.pTxBuf = g_TxBuf5;					/* 发送缓冲区指针 */g_tUart5.pRxBuf = g_RxBuf5;					/* 接收缓冲区指针 */g_tUart5.usTxBufSize = UART5_TX_BUF_SIZE;	/* 发送缓冲区大小 */g_tUart5.usRxBufSize = UART5_RX_BUF_SIZE;	/* 接收缓冲区大小 */g_tUart5.usTxWrite = 0;						/* 发送FIFO写索引 */g_tUart5.usTxRead = 0;						/* 发送FIFO读索引 */g_tUart5.usRxWrite = 0;						/* 接收FIFO写索引 */g_tUart5.usRxRead = 0;						/* 接收FIFO读索引 */g_tUart5.usRxCount = 0;						/* 接收到的新数据个数 */g_tUart5.usTxCount = 0;						/* 待发送的数据个数 */g_tUart5.SendBefor = 0;						/* 发送数据前的回调函数 */g_tUart5.SendOver = 0;						/* 发送完毕后的回调函数 */g_tUart5.ReciveNew = 0;						/* 接收到新数据后的回调函数 */g_tUart5.Sending = 0;						/* 正在发送中标志 */
#endif#if UART6_FIFO_EN == 1g_tUart6.uart = USART6;						/* STM32 串口设备 */g_tUart6.pTxBuf = g_TxBuf6;					/* 发送缓冲区指针 */g_tUart6.pRxBuf = g_RxBuf6;					/* 接收缓冲区指针 */g_tUart6.usTxBufSize = UART6_TX_BUF_SIZE;	/* 发送缓冲区大小 */g_tUart6.usRxBufSize = UART6_RX_BUF_SIZE;	/* 接收缓冲区大小 */g_tUart6.usTxWrite = 0;						/* 发送FIFO写索引 */g_tUart6.usTxRead = 0;						/* 发送FIFO读索引 */g_tUart6.usRxWrite = 0;						/* 接收FIFO写索引 */g_tUart6.usRxRead = 0;						/* 接收FIFO读索引 */g_tUart6.usRxCount = 0;						/* 接收到的新数据个数 */g_tUart6.usTxCount = 0;						/* 待发送的数据个数 */g_tUart6.SendBefor = 0;						/* 发送数据前的回调函数 */g_tUart6.SendOver = 0;						/* 发送完毕后的回调函数 */g_tUart6.ReciveNew = 0;						/* 接收到新数据后的回调函数 */g_tUart6.Sending = 0;						/* 正在发送中标志 */
#endif#if UART7_FIFO_EN == 1g_tUart7.uart = UART7;						/* STM32 串口设备 */g_tUart7.pTxBuf = g_TxBuf7;					/* 发送缓冲区指针 */g_tUart7.pRxBuf = g_RxBuf7;					/* 接收缓冲区指针 */g_tUart7.usTxBufSize = UART7_TX_BUF_SIZE;	/* 发送缓冲区大小 */g_tUart7.usRxBufSize = UART7_RX_BUF_SIZE;	/* 接收缓冲区大小 */g_tUart7.usTxWrite = 0;						/* 发送FIFO写索引 */g_tUart7.usTxRead = 0;						/* 发送FIFO读索引 */g_tUart7.usRxWrite = 0;						/* 接收FIFO写索引 */g_tUart7.usRxRead = 0;						/* 接收FIFO读索引 */g_tUart7.usRxCount = 0;						/* 接收到的新数据个数 */g_tUart7.usTxCount = 0;						/* 待发送的数据个数 */g_tUart7.SendBefor = 0;						/* 发送数据前的回调函数 */g_tUart7.SendOver = 0;						/* 发送完毕后的回调函数 */g_tUart7.ReciveNew = 0;						/* 接收到新数据后的回调函数 */g_tUart7.Sending = 0;						/* 正在发送中标志 */
#endif#if UART8_FIFO_EN == 1g_tUart8.uart = UART8;						/* STM32 串口设备 */g_tUart8.pTxBuf = g_TxBuf8;					/* 发送缓冲区指针 */g_tUart8.pRxBuf = g_RxBuf8;					/* 接收缓冲区指针 */g_tUart8.usTxBufSize = UART8_TX_BUF_SIZE;	/* 发送缓冲区大小 */g_tUart8.usRxBufSize = UART8_RX_BUF_SIZE;	/* 接收缓冲区大小 */g_tUart8.usTxWrite = 0;						/* 发送FIFO写索引 */g_tUart8.usTxRead = 0;						/* 发送FIFO读索引 */g_tUart8.usRxWrite = 0;						/* 接收FIFO写索引 */g_tUart8.usRxRead = 0;						/* 接收FIFO读索引 */g_tUart8.usRxCount = 0;						/* 接收到的新数据个数 */g_tUart8.usTxCount = 0;						/* 待发送的数据个数 */g_tUart8.SendBefor = 0;						/* 发送数据前的回调函数 */g_tUart8.SendOver = 0;						/* 发送完毕后的回调函数 */g_tUart8.ReciveNew = 0;						/* 接收到新数据后的回调函数 */g_tUart8.Sending = 0;						/* 正在发送中标志 */
#endif
}/*
*********************************************************************************************************
*	函 数 名: bsp_SetUartParam
*	功能说明: 配置串口的硬件参数(波特率,数据位,停止位,起始位,校验位,中断使能)适合于STM32- H7开发板
*	形    参: Instance   USART_TypeDef类型结构体
*             BaudRate   波特率
*             Parity     校验类型,奇校验或者偶校验
*             Mode       发送和接收模式使能
*	返 回 值: 无
*********************************************************************************************************
*/
void bsp_SetUartParam(USART_TypeDef *Instance,  uint32_t BaudRate, uint32_t Parity, uint32_t Mode)
{UART_HandleTypeDef UartHandle;	/*##-1- 配置串口硬件参数 ######################################*//* 异步串口模式 (UART Mode) *//* 配置如下:- 字长    = 8 位- 停止位  = 1 个停止位- 校验    = 参数Parity- 波特率  = 参数BaudRate- 硬件流控制关闭 (RTS and CTS signals) */UartHandle.Instance        = Instance;UartHandle.Init.BaudRate   = BaudRate;UartHandle.Init.WordLength = UART_WORDLENGTH_8B;UartHandle.Init.StopBits   = UART_STOPBITS_1;UartHandle.Init.Parity     = Parity;UartHandle.Init.HwFlowCtl  = UART_HWCONTROL_NONE;UartHandle.Init.Mode       = Mode;UartHandle.Init.OverSampling = UART_OVERSAMPLING_16;UartHandle.Init.OneBitSampling = UART_ONE_BIT_SAMPLE_DISABLE;UartHandle.Init.Prescaler = UART_PRESCALER_DIV1;UartHandle.Init.FIFOMode = UART_FIFOMODE_DISABLE;UartHandle.Init.TXFIFOThreshold = UART_TXFIFO_THRESHOLD_1_8;UartHandle.Init.RXFIFOThreshold = UART_RXFIFO_THRESHOLD_1_8;UartHandle.AdvancedInit.AdvFeatureInit = UART_ADVFEATURE_NO_INIT;if (HAL_UART_Init(&UartHandle) != HAL_OK){Error_Handler(__FILE__, __LINE__);}
}/*
*********************************************************************************************************
*	函 数 名: InitHardUart
*	功能说明: 配置串口的硬件参数(波特率,数据位,停止位,起始位,校验位,中断使能)适合于STM32-H7开发板
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
static void InitHardUart(void)
{GPIO_InitTypeDef  GPIO_InitStruct;RCC_PeriphCLKInitTypeDef RCC_PeriphClkInit;/* 下面这个配置可以注释掉,预留下来是为了方便以后选择其它时钟使用 默认情况下,USART1和USART6选择的PCLK2,时钟100MHz。USART2,USART3,UART4,UART5,UART6,UART7和UART8选择的时钟是PLCK1,时钟100MHz。*/RCC_PeriphClkInit.PeriphClockSelection = RCC_PERIPHCLK_USART16;RCC_PeriphClkInit.Usart16ClockSelection = RCC_USART16CLKSOURCE_D2PCLK2;HAL_RCCEx_PeriphCLKConfig(&RCC_PeriphClkInit);	#if UART1_FIFO_EN == 1		/* 串口1 *//* 使能 GPIO TX/RX 时钟 */USART1_TX_GPIO_CLK_ENABLE();USART1_RX_GPIO_CLK_ENABLE();/* 使能 USARTx 时钟 */USART1_CLK_ENABLE();	/* 配置TX引脚 */GPIO_InitStruct.Pin       = USART1_TX_PIN;GPIO_InitStruct.Mode      = GPIO_MODE_AF_PP;GPIO_InitStruct.Pull      = GPIO_PULLUP;GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_VERY_HIGH;GPIO_InitStruct.Alternate = USART1_TX_AF;HAL_GPIO_Init(USART1_TX_GPIO_PORT, &GPIO_InitStruct);	/* 配置RX引脚 */GPIO_InitStruct.Pin = USART1_RX_PIN;GPIO_InitStruct.Alternate = USART1_RX_AF;HAL_GPIO_Init(USART1_RX_GPIO_PORT, &GPIO_InitStruct);/* 配置NVIC the NVIC for UART */   HAL_NVIC_SetPriority(USART1_IRQn, 0, 1);HAL_NVIC_EnableIRQ(USART1_IRQn);/* 配置波特率、奇偶校验 */bsp_SetUartParam(USART1,  UART1_BAUD, UART_PARITY_NONE, UART_MODE_TX_RX);SET_BIT(USART1->ICR, USART_ICR_TCCF);	/* 清除TC发送完成标志 */SET_BIT(USART1->RQR, USART_RQR_RXFRQ);  /* 清除RXNE接收标志 */// USART_CR1_PEIE | USART_CR1_RXNEIESET_BIT(USART1->CR1, USART_CR1_RXNEIE);	/* 使能PE. RX接受中断 */
#endif#if UART2_FIFO_EN == 1		/* 串口2 *//* 使能 GPIO TX/RX 时钟 */USART2_TX_GPIO_CLK_ENABLE();USART2_RX_GPIO_CLK_ENABLE();/* 使能 USARTx 时钟 */USART2_CLK_ENABLE();	/* 配置TX引脚 */GPIO_InitStruct.Pin       = USART2_TX_PIN;GPIO_InitStruct.Mode      = GPIO_MODE_AF_PP;GPIO_InitStruct.Pull      = GPIO_PULLUP;GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_VERY_HIGH;GPIO_InitStruct.Alternate = USART2_TX_AF;HAL_GPIO_Init(USART2_TX_GPIO_PORT, &GPIO_InitStruct);	/* 配置RX引脚 */GPIO_InitStruct.Pin = USART2_RX_PIN;GPIO_InitStruct.Alternate = USART2_RX_AF;HAL_GPIO_Init(USART2_RX_GPIO_PORT, &GPIO_InitStruct);/* 配置NVIC the NVIC for UART */   HAL_NVIC_SetPriority(USART2_IRQn, 0, 2);HAL_NVIC_EnableIRQ(USART2_IRQn);/* 配置波特率、奇偶校验 */bsp_SetUartParam(USART2,  UART2_BAUD, UART_PARITY_NONE, UART_MODE_RX);	// UART_MODE_TX_RXSET_BIT(USART2->ICR, USART_ICR_TCCF);	/* 清除TC发送完成标志 */SET_BIT(USART2->RQR, USART_RQR_RXFRQ);/* 清除RXNE接收标志 */SET_BIT(USART2->CR1, USART_CR1_RXNEIE);	/* 使能PE. RX接受中断 */
#endif#if UART3_FIFO_EN == 1			/* 串口3 *//* 使能 GPIO TX/RX 时钟 */USART3_TX_GPIO_CLK_ENABLE();USART3_RX_GPIO_CLK_ENABLE();/* 使能 USARTx 时钟 */USART3_CLK_ENABLE();	/* 配置TX引脚 */GPIO_InitStruct.Pin       = USART3_TX_PIN;GPIO_InitStruct.Mode      = GPIO_MODE_AF_PP;GPIO_InitStruct.Pull      = GPIO_PULLUP;GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_VERY_HIGH;GPIO_InitStruct.Alternate = USART3_TX_AF;HAL_GPIO_Init(USART3_TX_GPIO_PORT, &GPIO_InitStruct);	/* 配置RX引脚 */GPIO_InitStruct.Pin = USART3_RX_PIN;GPIO_InitStruct.Alternate = USART3_RX_AF;HAL_GPIO_Init(USART3_RX_GPIO_PORT, &GPIO_InitStruct);/* 配置NVIC the NVIC for UART */   HAL_NVIC_SetPriority(USART3_IRQn, 0, 3);HAL_NVIC_EnableIRQ(USART3_IRQn);/* 配置波特率、奇偶校验 */bsp_SetUartParam(USART3,  UART3_BAUD, UART_PARITY_NONE, UART_MODE_TX_RX);SET_BIT(USART3->ICR, USART_ICR_TCCF);	/* 清除TC发送完成标志 */SET_BIT(USART3->RQR, USART_RQR_RXFRQ);/* 清除RXNE接收标志 */SET_BIT(USART3->CR1, USART_CR1_RXNEIE);	/* 使能PE. RX接受中断 */
#endif#if UART4_FIFO_EN == 1			/* 串口4 TX = PC10   RX = PC11 *//* 使能 GPIO TX/RX 时钟 */UART4_TX_GPIO_CLK_ENABLE();UART4_RX_GPIO_CLK_ENABLE();/* 使能 USARTx 时钟 */UART4_CLK_ENABLE();	/* 配置TX引脚 */GPIO_InitStruct.Pin       = UART4_TX_PIN;GPIO_InitStruct.Mode      = GPIO_MODE_AF_PP;GPIO_InitStruct.Pull      = GPIO_PULLUP;GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_VERY_HIGH;GPIO_InitStruct.Alternate = UART4_TX_AF;HAL_GPIO_Init(UART4_TX_GPIO_PORT, &GPIO_InitStruct);	/* 配置RX引脚 */GPIO_InitStruct.Pin = UART4_RX_PIN;GPIO_InitStruct.Alternate = UART4_RX_AF;HAL_GPIO_Init(UART4_RX_GPIO_PORT, &GPIO_InitStruct);/* 配置NVIC the NVIC for UART */   HAL_NVIC_SetPriority(UART4_IRQn, 0, 4);HAL_NVIC_EnableIRQ(UART4_IRQn);/* 配置波特率、奇偶校验 */bsp_SetUartParam(UART4,  UART4_BAUD, UART_PARITY_NONE, UART_MODE_TX_RX);SET_BIT(UART4->ICR, USART_ICR_TCCF);	/* 清除TC发送完成标志 */SET_BIT(UART4->RQR, USART_RQR_RXFRQ);/* 清除RXNE接收标志 */SET_BIT(UART4->CR1, USART_CR1_RXNEIE);	/* 使能RX接受中断 */
#endif#if UART5_FIFO_EN == 1			/* 串口5 TX = PC12   RX = PD2 *//* 使能 GPIO TX/RX 时钟 */UART5_TX_GPIO_CLK_ENABLE();UART5_RX_GPIO_CLK_ENABLE();/* 使能 USARTx 时钟 */UART5_CLK_ENABLE();	/* 配置TX引脚 */GPIO_InitStruct.Pin       = UART5_TX_PIN;GPIO_InitStruct.Mode      = GPIO_MODE_AF_PP;GPIO_InitStruct.Pull      = GPIO_PULLUP;GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_VERY_HIGH;GPIO_InitStruct.Alternate = UART5_TX_AF;HAL_GPIO_Init(UART5_TX_GPIO_PORT, &GPIO_InitStruct);	/* 配置RX引脚 */GPIO_InitStruct.Pin = UART5_RX_PIN;GPIO_InitStruct.Alternate = UART5_RX_AF;HAL_GPIO_Init(UART5_RX_GPIO_PORT, &GPIO_InitStruct);/* 配置NVIC the NVIC for UART */   HAL_NVIC_SetPriority(UART5_IRQn, 0, 5);HAL_NVIC_EnableIRQ(UART5_IRQn);/* 配置波特率、奇偶校验 */bsp_SetUartParam(UART5,  UART5_BAUD, UART_PARITY_NONE, UART_MODE_TX_RX);SET_BIT(UART5->ICR, USART_ICR_TCCF);	/* 清除TC发送完成标志 */SET_BIT(UART5->RQR, USART_RQR_RXFRQ);/* 清除RXNE接收标志 */SET_BIT(UART5->CR1, USART_CR1_RXNEIE);	/* 使能RX接受中断 */
#endif#if UART6_FIFO_EN == 1			/* USART6 *//* 使能 GPIO TX/RX 时钟 */USART6_TX_GPIO_CLK_ENABLE();USART6_RX_GPIO_CLK_ENABLE();/* 使能 USARTx 时钟 */USART6_CLK_ENABLE();	/* 配置TX引脚 */GPIO_InitStruct.Pin       = USART6_TX_PIN;GPIO_InitStruct.Mode      = GPIO_MODE_AF_PP;GPIO_InitStruct.Pull      = GPIO_PULLUP;GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_VERY_HIGH;GPIO_InitStruct.Alternate = USART6_TX_AF;HAL_GPIO_Init(USART6_TX_GPIO_PORT, &GPIO_InitStruct);	/* 配置RX引脚 */GPIO_InitStruct.Pin = USART6_RX_PIN;GPIO_InitStruct.Alternate = USART6_RX_AF;HAL_GPIO_Init(USART6_RX_GPIO_PORT, &GPIO_InitStruct);/* 配置NVIC the NVIC for UART */   HAL_NVIC_SetPriority(USART6_IRQn, 0, 6);HAL_NVIC_EnableIRQ(USART6_IRQn);/* 配置波特率、奇偶校验 */bsp_SetUartParam(USART6,  UART6_BAUD, UART_PARITY_NONE, UART_MODE_TX_RX);SET_BIT(USART6->ICR, USART_ICR_TCCF);	/* 清除TC发送完成标志 */SET_BIT(USART6->RQR, USART_RQR_RXFRQ);/* 清除RXNE接收标志 */SET_BIT(USART6->CR1, USART_CR1_RXNEIE);	/* 使能PE. RX接受中断 */
#endif#if UART7_FIFO_EN == 1			/* UART7 *//* 使能 GPIO TX/RX 时钟 */UART7_TX_GPIO_CLK_ENABLE();UART7_RX_GPIO_CLK_ENABLE();/* 使能 USARTx 时钟 */UART7_CLK_ENABLE();	/* 配置TX引脚 */GPIO_InitStruct.Pin       = UART7_TX_PIN;GPIO_InitStruct.Mode      = GPIO_MODE_AF_PP;GPIO_InitStruct.Pull      = GPIO_PULLUP;GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_VERY_HIGH;GPIO_InitStruct.Alternate = UART7_TX_AF;HAL_GPIO_Init(UART7_TX_GPIO_PORT, &GPIO_InitStruct);	/* 配置RX引脚 */GPIO_InitStruct.Pin = UART7_RX_PIN;GPIO_InitStruct.Alternate = UART7_RX_AF;HAL_GPIO_Init(UART7_RX_GPIO_PORT, &GPIO_InitStruct);/* 配置NVIC the NVIC for UART */   HAL_NVIC_SetPriority(UART7_IRQn, 0, 6);HAL_NVIC_EnableIRQ(UART7_IRQn);/* 配置波特率、奇偶校验 */bsp_SetUartParam(UART7,  UART7_BAUD, UART_PARITY_NONE, UART_MODE_TX_RX);SET_BIT(UART7->ICR, USART_ICR_TCCF);	/* 清除TC发送完成标志 */SET_BIT(UART7->RQR, USART_RQR_RXFRQ);	/* 清除RXNE接收标志 */SET_BIT(UART7->CR1, USART_CR1_RXNEIE);	/* 使能PE. RX接受中断 */
#endif#if UART8_FIFO_EN == 1			/* UART8 *//* 使能 GPIO TX/RX 时钟 */UART8_TX_GPIO_CLK_ENABLE();UART7_RX_GPIO_CLK_ENABLE();/* 使能 USARTx 时钟 */UART8_CLK_ENABLE();	/* 配置TX引脚 */GPIO_InitStruct.Pin       = UART8_TX_PIN;GPIO_InitStruct.Mode      = GPIO_MODE_AF_PP;GPIO_InitStruct.Pull      = GPIO_PULLUP;GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_VERY_HIGH;GPIO_InitStruct.Alternate = UART8_TX_AF;HAL_GPIO_Init(UART8_TX_GPIO_PORT, &GPIO_InitStruct);	/* 配置RX引脚 */GPIO_InitStruct.Pin = UART8_RX_PIN;GPIO_InitStruct.Alternate = UART8_RX_AF;HAL_GPIO_Init(UART8_RX_GPIO_PORT, &GPIO_InitStruct);/* 配置NVIC the NVIC for UART */   HAL_NVIC_SetPriority(UART8_IRQn, 0, 6);HAL_NVIC_EnableIRQ(UART8_IRQn);/* 配置波特率、奇偶校验 */bsp_SetUartParam(UART8,  UART8_BAUD, UART_PARITY_NONE, UART_MODE_TX_RX);SET_BIT(UART8->ICR, USART_ICR_TCCF);	/* 清除TC发送完成标志 */SET_BIT(UART8->RQR, USART_RQR_RXFRQ);	/* 清除RXNE接收标志 */SET_BIT(UART8->CR1, USART_CR1_RXNEIE);	/* 使能PE. RX接受中断 */
#endif
}/*
*********************************************************************************************************
*	函 数 名: UartSend
*	功能说明: 填写数据到UART发送缓冲区,并启动发送中断。中断处理函数发送完毕后,自动关闭发送中断
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
static void UartSend(UART_T *_pUart, uint8_t *_ucaBuf, uint16_t _usLen)
{uint16_t i;for (i = 0; i < _usLen; i++){/* 如果发送缓冲区已经满了,则等待缓冲区空 */while (1){__IO uint16_t usCount;DISABLE_INT();usCount = _pUart->usTxCount;ENABLE_INT();if (usCount < _pUart->usTxBufSize){break;}else if(usCount == _pUart->usTxBufSize)/* 数据已填满缓冲区 */{if((_pUart->uart->CR1 & USART_CR1_TXEIE) == 0){SET_BIT(_pUart->uart->CR1, USART_CR1_TXEIE);}  }}/* 将新数据填入发送缓冲区 */_pUart->pTxBuf[_pUart->usTxWrite] = _ucaBuf[i];DISABLE_INT();if (++_pUart->usTxWrite >= _pUart->usTxBufSize){_pUart->usTxWrite = 0;}_pUart->usTxCount++;ENABLE_INT();}SET_BIT(_pUart->uart->CR1, USART_CR1_TXEIE);	/* 使能发送中断(缓冲区空) */
}/*
*********************************************************************************************************
*	函 数 名: UartGetChar
*	功能说明: 从串口接收缓冲区读取1字节数据 (用于主程序调用)
*	形    参: _pUart : 串口设备
*			  _pByte : 存放读取数据的指针
*	返 回 值: 0 表示无数据  1表示读取到数据
*********************************************************************************************************
*/
static uint8_t UartGetChar(UART_T *_pUart, uint8_t *_pByte)
{uint16_t usCount;/* usRxWrite 变量在中断函数中被改写,主程序读取该变量时,必须进行临界区保护 */DISABLE_INT();usCount = _pUart->usRxCount;ENABLE_INT();/* 如果读和写索引相同,则返回0 *///if (_pUart->usRxRead == usRxWrite)if (usCount == 0)	/* 已经没有数据 */{return 0;}else{*_pByte = _pUart->pRxBuf[_pUart->usRxRead];		/* 从串口接收FIFO取1个数据 *//* 改写FIFO读索引 */DISABLE_INT();if (++_pUart->usRxRead >= _pUart->usRxBufSize){_pUart->usRxRead = 0;}_pUart->usRxCount--;ENABLE_INT();return 1;}
}/*
*********************************************************************************************************
*   函 数 名: UartTxEmpty
*   功能说明: 判断发送缓冲区是否为空。
*   形    参:  _pUart : 串口设备
*   返 回 值: 1为空。0为不空。
*********************************************************************************************************
*/
uint8_t UartTxEmpty(COM_PORT_E _ucPort)
{UART_T *pUart;uint8_t Sending;pUart = ComToUart(_ucPort);if (pUart == 0){return 0;}Sending = pUart->Sending;if (Sending != 0){return 0;}return 1;
}/*
*********************************************************************************************************
*	函 数 名: UartIRQ
*	功能说明: 供中断服务程序调用,通用串口中断处理函数
*	形    参: _pUart : 串口设备
*	返 回 值: 无
*********************************************************************************************************
*/
static void UartIRQ(UART_T *_pUart)
{uint32_t isrflags   = READ_REG(_pUart->uart->ISR);uint32_t cr1its     = READ_REG(_pUart->uart->CR1);uint32_t cr3its     = READ_REG(_pUart->uart->CR3);/* 处理接收中断  */if ((isrflags & USART_ISR_RXNE) != RESET){/* 从串口接收数据寄存器读取数据存放到接收FIFO */uint8_t ch;ch = READ_REG(_pUart->uart->RDR);_pUart->pRxBuf[_pUart->usRxWrite] = ch;if (++_pUart->usRxWrite >= _pUart->usRxBufSize){_pUart->usRxWrite = 0;}if (_pUart->usRxCount < _pUart->usRxBufSize){_pUart->usRxCount++;}/* 回调函数,通知应用程序收到新数据,一般是发送1个消息或者设置一个标记 *///if (_pUart->usRxWrite == _pUart->usRxRead)//if (_pUart->usRxCount == 1){if (_pUart->ReciveNew){_pUart->ReciveNew(ch); /* 比如,交给MODBUS解码程序处理字节流 */}}}/* 处理发送缓冲区空中断 */if ( ((isrflags & USART_ISR_TXE) != RESET) && (cr1its & USART_CR1_TXEIE) != RESET){//if (_pUart->usTxRead == _pUart->usTxWrite)if (_pUart->usTxCount == 0){/* 发送缓冲区的数据已取完时, 禁止发送缓冲区空中断 (注意:此时最后1个数据还未真正发送完毕)*///USART_ITConfig(_pUart->uart, USART_IT_TXE, DISABLE);CLEAR_BIT(_pUart->uart->CR1, USART_CR1_TXEIE);/* 使能数据发送完毕中断 *///USART_ITConfig(_pUart->uart, USART_IT_TC, ENABLE);SET_BIT(_pUart->uart->CR1, USART_CR1_TCIE);}else{_pUart->Sending = 1;/* 从发送FIFO取1个字节写入串口发送数据寄存器 *///USART_SendData(_pUart->uart, _pUart->pTxBuf[_pUart->usTxRead]);_pUart->uart->TDR = _pUart->pTxBuf[_pUart->usTxRead];if (++_pUart->usTxRead >= _pUart->usTxBufSize){_pUart->usTxRead = 0;}_pUart->usTxCount--;}}/* 数据bit位全部发送完毕的中断 */if (((isrflags & USART_ISR_TC) != RESET) && ((cr1its & USART_CR1_TCIE) != RESET)){//if (_pUart->usTxRead == _pUart->usTxWrite)if (_pUart->usTxCount == 0){/* 如果发送FIFO的数据全部发送完毕,禁止数据发送完毕中断 *///USART_ITConfig(_pUart->uart, USART_IT_TC, DISABLE);CLEAR_BIT(_pUart->uart->CR1, USART_CR1_TCIE);/* 回调函数, 一般用来处理RS485通信,将RS485芯片设置为接收模式,避免抢占总线 */if (_pUart->SendOver){_pUart->SendOver();}_pUart->Sending = 0;}else{/* 正常情况下,不会进入此分支 *//* 如果发送FIFO的数据还未完毕,则从发送FIFO取1个数据写入发送数据寄存器 *///USART_SendData(_pUart->uart, _pUart->pTxBuf[_pUart->usTxRead]);_pUart->uart->TDR = _pUart->pTxBuf[_pUart->usTxRead];if (++_pUart->usTxRead >= _pUart->usTxBufSize){_pUart->usTxRead = 0;}_pUart->usTxCount--;}}/* 清除中断标志 */SET_BIT(_pUart->uart->ICR, UART_CLEAR_PEF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_FEF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_NEF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_OREF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_IDLEF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_TCF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_LBDF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_CTSF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_CMF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_WUF);SET_BIT(_pUart->uart->ICR, UART_CLEAR_TXFECF);//	  *            @arg UART_CLEAR_PEF: Parity Error Clear Flag
//  *            @arg UART_CLEAR_FEF: Framing Error Clear Flag
//  *            @arg UART_CLEAR_NEF: Noise detected Clear Flag
//  *            @arg UART_CLEAR_OREF: OverRun Error Clear Flag
//  *            @arg UART_CLEAR_IDLEF: IDLE line detected Clear Flag
//  *            @arg UART_CLEAR_TCF: Transmission Complete Clear Flag
//  *            @arg UART_CLEAR_LBDF: LIN Break Detection Clear Flag
//  *            @arg UART_CLEAR_CTSF: CTS Interrupt Clear Flag
//  *            @arg UART_CLEAR_RTOF: Receiver Time Out Clear Flag
//  *            @arg UART_CLEAR_CMF: Character Match Clear Flag
//  *            @arg.UART_CLEAR_WUF:  Wake Up from stop mode Clear Flag
//  *            @arg UART_CLEAR_TXFECF: TXFIFO empty Clear Flag	
}/*
*********************************************************************************************************
*	函 数 名: USART1_IRQHandler  USART2_IRQHandler USART3_IRQHandler UART4_IRQHandler UART5_IRQHandler等
*	功能说明: USART中断服务程序
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
#if UART1_FIFO_EN == 1
void USART1_IRQHandler(void)
{UartIRQ(&g_tUart1);
}
#endif#if UART2_FIFO_EN == 1
void USART2_IRQHandler(void)
{UartIRQ(&g_tUart2);
}
#endif#if UART3_FIFO_EN == 1
void USART3_IRQHandler(void)
{UartIRQ(&g_tUart3);
}
#endif#if UART4_FIFO_EN == 1
void UART4_IRQHandler(void)
{UartIRQ(&g_tUart4);
}
#endif#if UART5_FIFO_EN == 1
void UART5_IRQHandler(void)
{UartIRQ(&g_tUart5);
}
#endif#if UART6_FIFO_EN == 1
void USART6_IRQHandler(void)
{UartIRQ(&g_tUart6);
}
#endif#if UART7_FIFO_EN == 1
void UART7_IRQHandler(void)
{UartIRQ(&g_tUart7);
}
#endif#if UART8_FIFO_EN == 1
void UART8_IRQHandler(void)
{UartIRQ(&g_tUart8);
}
#endif/*
*********************************************************************************************************
*	函 数 名: fputc
*	功能说明: 重定义putc函数,这样可以使用printf函数从串口1打印输出
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
int fputc(int ch, FILE *f)
{
#if 0	/* 将需要printf的字符通过串口中断FIFO发送出去,printf函数会立即返回 */comSendChar(COM1, ch);return ch;
#else	/* 采用阻塞方式发送每个字符,等待数据发送完毕 *//* 写一个字节到USART1 */USART1->TDR = ch;/* 等待发送结束 */while((USART1->ISR & USART_ISR_TC) == 0){}return ch;
#endif
}/*
*********************************************************************************************************
*	函 数 名: fgetc
*	功能说明: 重定义getc函数,这样可以使用getchar函数从串口1输入数据
*	形    参: 无
*	返 回 值: 无
*********************************************************************************************************
*/
int fgetc(FILE *f)
{#if 1	/* 从串口接收FIFO中取1个数据, 只有取到数据才返回 */uint8_t ucData;while(comGetChar(COM1, &ucData) == 0);return ucData;
#else/* 等待接收到数据 */while((USART1->ISR & USART_ISR_RXNE) == 0){}return (int)USART1->RDR;
#endif
}

应用示例

	uint8_t read;const char buf1[] = "1";const char buf2[] = "2";const char buf3[] = "3";const char buf4[] = "4";if (comGetChar(COM1, &read))//接收{switch (read){case '1':comSendBuf(COM1, (uint8_t *)buf1, strlen(buf1));break;case '2':comSendBuf(COM1, (uint8_t *)buf2, strlen(buf2));break;case '3':comSendBuf(COM1, (uint8_t *)buf3, strlen(buf3));break;case '4':comSendBuf(COM1, (uint8_t *)buf4, strlen(buf4));break;	default:break;}}

RS485深入理解

看armfly老哥的帖子:https://www.armbbs.cn/forum.php?mod=viewthread&tid=90753

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/821200.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【云计算】云数据中心网络(四):IPv6 网关

云数据中心网络&#xff08;四&#xff09;&#xff1a;IPv6 网关 1.什么是 IPv6 网关2.IPv6 网关设计思路3.IPv6 网关的主要应用场景3.1 IPv6 私网通信3.2 IPv6 互联网通信3.3 IPv6 互联网通信&#xff08;仅主动访问&#xff09; 1.什么是 IPv6 网关 2017 年&#xff0c;中国…

如何学习VBA_3.2.20:DTP与Datepicker实现日期的输入

我给VBA的定义&#xff1a;VBA是个人小型自动化处理的有效工具。利用好了&#xff0c;可以大大提高自己的劳动效率&#xff0c;而且可以提高数据处理的准确度。我推出的VBA系列教程共九套和一部VBA汉英手册&#xff0c;现在已经全部完成&#xff0c;希望大家利用、学习。 如果…

316_C++_xml文件解析成map,可以放到QT表格上, 且 xml、xlsx文件可以互相解析

xml文件例如&#xff1a; <?xml version"1.0" encoding"UTF-8" standalone"yes"?> <TrTable> <tr id"0" label"TR_PB_CH" text"CH%2"/> <tr id"4" label"TR_PB_CHN"…

空间滤波器和频率域滤波器的对应

说实话&#xff0c;4.7.4的前面的图4.37我没看懂在说什么&#xff0c;但是例4.15这个我倒是看明白了。中心思想是:本来空间滤波器计算的时候需要不停地滑动模版的&#xff0c;这里把滑动用x的值代替了,但是现在不搞滑动了&#xff0c;利用傅里叶变换和卷积公式&#xff0c;只要…

软考 - 系统架构设计师 - 嵌入式真题

问题 1&#xff1a; &#xff08;1&#xff09;.HTML 静态化&#xff1a;可以实现对系统经常访问的页面进行静态化以提高系统访问的效率&#xff0c;但系统页面通常需要数据库中的用户信息和用户选择来动态显示&#xff0c;因此不适合采用。 HTML 静态化&#xff1a; 将动态生成…

二.吊打面试官系列-数据库优化-Explain索引分析

1.如何定位慢SQL 我们知道数据库瓶颈80%都在查询上&#xff0c;数据库优化有一个比较重要的环节就是定位系统中的慢SQL&#xff0c;那么我们如何快速定位到哪些查询语句比较耗时呢&#xff1f;Mysql有自己的慢SQL定位功能 MySQL的慢查询日志&#xff0c;用来记录在MySQL中响应…

项目5-博客系统3+接口完

1.实现显示用户信息 ⽬前⻚⾯的⽤⼾信息部分是写死的. 形如 我们期望这个信息可以随着用户登陆而发生改变. • 如果当前⻚⾯是博客列表⻚, 则显⽰当前登陆⽤⼾的信息. • 如果当前⻚⾯是博客详情⻚, 则显⽰该博客的作者⽤⼾信息. 注意: 当前我们只是实现了显⽰⽤⼾名, 没有…

工厂设备数据采集

在信息化浪潮席卷全球的时代背景下&#xff0c;工厂设备数据采集成为推动企业数字化转型和智能化升级的关键环节。HiWoo Box网关以其卓越的性能和便捷的操作&#xff0c;为工厂设备数据采集提供了强有力的支持。本文将深入探讨工厂设备数据采集的概念&#xff0c;阐述如何利用H…

企业用户管理passwd/sudo工作原理/chage/用户组/切换用户及提权管理/chown知识详谈-6000字

passwd 用户自己给自己设置密码&#xff1a;直接passwd root用户给普通用户设置密码&#xff1a;passwd用户名 stdin 从标准输入获取信息 批量创建用户&#xff1a; bash脚本&#xff1a; for n in {01…10} do useradd wulin$n done n先取01然后循环&#xff0c;再取再执行…

掌握App用户注册情况,Xinstall来帮忙

在移动互联网时代&#xff0c;App已经成为企业与用户之间的重要桥梁。然而&#xff0c;对于许多App开发者来说&#xff0c;如何精准地获取和分析用户注册数据&#xff0c;一直是一个令人头疼的问题。幸运的是&#xff0c;有了Xinstall这样的一站式App全渠道统计服务商&#xff…

java八股文知识点讲解(个人认为讲的比较好的)

1、解决哈希冲突——链地址法&#xff1a;【第7章查找】19哈希表的查找_链地址法解决哈希冲突_哔哩哔哩_bilibili 2、解决哈希冲突——开放地址法 &#xff1a; 【第7章查找】18哈希表的查找_开放定址法解决哈希冲突_哔哩哔哩_bilibili 3、小根堆大根堆的创建&#xff1a;选择…

NL2SQL进阶系列(5):论文解读业界前沿方案(DIN-SQL、C3-SQL、DAIL-SQL、SQL-PaLM)、新一代数据集BIRD-SQL解读

NL2SQL进阶系列(5)&#xff1a;论文解读业界前沿方案&#xff08;DIN-SQL、C3-SQL、DAIL-SQL&#xff09;、新一代数据集BIRD-SQL解读 NL2SQL基础系列(1)&#xff1a;业界顶尖排行榜、权威测评数据集及LLM大模型&#xff08;Spider vs BIRD&#xff09;全面对比优劣分析[Text2…

【C++进阶】详解C++类型转换IO流

C类型转换及IO流 一&#xff0c;C语言的类型转换方式二&#xff0c;C的四种强制类型转换方式2.1 static_cast2.2 reinterpret_cast2.3 const_cast2.4 dynamic_cast 三&#xff0c;C语言的输入和输出四&#xff0c;C的标准IO流五&#xff0c;C文件IO流总结 这一节我们来讲解 C的…

数据结构OJ:设计循环队列

题目介绍 本题为LeetCode上的经典题目&#xff0c;题目要求我们设计一种循环队列&#xff0c;满足FIFO原则且队尾被连接在队首之后。 思路讲解 题目中介绍循环队列的好处是可以重复利用空间&#xff0c;所以我们很容易想到在初始化时即开辟指定大小的空间&#xff0c;之后便不…

策略模式:灵活调整算法的设计精髓

在软件开发中&#xff0c;策略模式是一种行为型设计模式&#xff0c;它允许在运行时选择算法的行为。通过定义一系列算法&#xff0c;并将每个算法封装起来&#xff0c;策略模式使得算法可以互换使用&#xff0c;这使得算法可以独立于使用它们的客户。本文将详细介绍策略模式的…

【每日刷题】Day16

【每日刷题】Day16 &#x1f955;个人主页&#xff1a;开敲&#x1f349; &#x1f525;所属专栏&#xff1a;每日刷题&#x1f34d; &#x1f33c;文章目录&#x1f33c; 1. 24. 两两交换链表中的节点 - 力扣&#xff08;LeetCode&#xff09; 2. 160. 相交链表 - 力扣&…

基于小程序实现的4s店管理系统

作者主页&#xff1a;Java码库 主营内容&#xff1a;SpringBoot、Vue、SSM、HLMT、Jsp、PHP、Nodejs、Python、爬虫、数据可视化、小程序、安卓app等设计与开发。 收藏点赞不迷路 关注作者有好处 文末获取源码 技术选型 【后端】&#xff1a;Java 【框架】&#xff1a;ssm 【…

【C++11】智能指针

> 作者&#xff1a;დ旧言~ > 座右铭&#xff1a;松树千年终是朽&#xff0c;槿花一日自为荣。 > 目标&#xff1a;理解在C11中智能指针&#xff0c;自己能模拟实现 4 种智能指针 > 毒鸡汤&#xff1a;白日莫闲过&#xff0c;青春不再来。 > 专栏选自&#xff1…

【halcon】C# halcon 内存暴增 续,找到一个解决方案

这里写自定义目录标题 背景释放临时缓存具体的使用感受背景 在之前的文章《【halcon】C# halcon 内存暴增 》中我们提到了一些会导致内存暴增的原因。 其中一个就是使用了计算复杂的算子,且图片很大时,此时内存就会暴增,而且内存无法被释放。 这次,我在做一个项目时,用到…

L2-3 完全二叉树的层序遍历

完全二叉树的层序遍历 一个二叉树&#xff0c;如果每一个层的结点数都达到最大值&#xff0c;则这个二叉树就是完美二叉树。对于深度为 D 的&#xff0c;有 N 个结点的二叉树&#xff0c;若其结点对应于相同深度完美二叉树的层序遍历的前 N 个结点&#xff0c;这样的树就是完全…